首页 > 最新文献

2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)最新文献

英文 中文
Imager with Dynamic LSB Adaptation and Ratiometric Readout for Low-Bit Depth 5-μW Peak Power in Purely-Harvested Systems 具有动态LSB自适应和纯采集系统低位深度5 μ w峰值功率比例读出的成像仪
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830147
K. Ahmed, Longyang Lin, P. Salamani, M. Alioto
An imager with μW peak power is introduced for purely-harvested operation. The LSB is dynamically adapted to the light intensity of the scene for aggressive bit depth downscaling, avoiding traditional dynamic range over-margining across practical light intensities under fixed LSB. Ratiometric readout of pixel current cancels threshold voltage mismatch. A 256×256-pixel 180-nm imager shows 5-μW power at 1 fps and 4 bits, while keeping ImageNet classification accuracy drop to percentage points under 75-dB ambient light range, across original and brightness-adjusted images.
介绍了一种峰值功率为μW的纯采集成像仪。LSB动态适应场景的光强,以实现积极的位深降尺度,避免了固定LSB下传统动态范围在实际光强上的过度边缘。像素电流的比率读出消除阈值电压失配。一个256×256-pixel 180 nm成像仪在1 fps和4位下显示5 μ w功率,同时在75 db环境光范围内,在原始和亮度调整后的图像中,将ImageNet分类精度降低到几个百分点。
{"title":"Imager with Dynamic LSB Adaptation and Ratiometric Readout for Low-Bit Depth 5-μW Peak Power in Purely-Harvested Systems","authors":"K. Ahmed, Longyang Lin, P. Salamani, M. Alioto","doi":"10.1109/vlsitechnologyandcir46769.2022.9830147","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830147","url":null,"abstract":"An imager with μW peak power is introduced for purely-harvested operation. The LSB is dynamically adapted to the light intensity of the scene for aggressive bit depth downscaling, avoiding traditional dynamic range over-margining across practical light intensities under fixed LSB. Ratiometric readout of pixel current cancels threshold voltage mismatch. A 256×256-pixel 180-nm imager shows 5-μW power at 1 fps and 4 bits, while keeping ImageNet classification accuracy drop to percentage points under 75-dB ambient light range, across original and brightness-adjusted images.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125837025","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Interfacial-Layer Design for Hf1-xZrxO2-Based FTJ Devices: From Atom to Array 基于hf1 - xzrxo2的FTJ器件的接口层设计:从原子到阵列
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830462
H. Chiang, J. Wang, Kuan‐Heng Lin, C. Nien, J. Wu, K.-Y. Hsiang, Chih-Piao Chuu, Y.-W. Chen, X. W. Zhang, C. Liu, Tahui Wang, C. -. Wang, M. Lee, M. Chang, C. Chang, T. C. Chen
For the first time, we demonstrate Ferroelectric Tunneling Junctions (FTJs) with both (a) 10-year retention time projected from measured data and (b) robust endurance (> 108 cycles) with the on-off ratio >10× by inserting a 1.8nm Al2O3 interfacial layer (IL) into the FTJs. Compared with Metal-Ferroelectric-Metal (MFM) FTJs, higher orthorhombic phase (~6×) was verified by physical analyses and first-principles calculations in our proposed Metal-Ferroelectric-IL-Metal (MFIM) FTJs, resulting in the remanent polarization (2Pr) which improves the retention and the on-off ratio significantly.
通过在铁电隧道结(ftj)中插入1.8nm的Al2O3界面层(IL),我们首次展示了铁电隧道结(ftj)具有(a)根据测量数据预测的10年保留时间和(b)稳健的耐久性(> 108次循环)和>10倍的通断比。通过物理分析和第一性原理计算,与金属-铁电-铁电-金属(MFM) ftj相比,我们提出的金属-铁电-铁电- il -金属(MFIM) ftj具有更高的正交相(~ 6x),导致剩余极化(2Pr),显著提高了保持率和通断比。
{"title":"Interfacial-Layer Design for Hf1-xZrxO2-Based FTJ Devices: From Atom to Array","authors":"H. Chiang, J. Wang, Kuan‐Heng Lin, C. Nien, J. Wu, K.-Y. Hsiang, Chih-Piao Chuu, Y.-W. Chen, X. W. Zhang, C. Liu, Tahui Wang, C. -. Wang, M. Lee, M. Chang, C. Chang, T. C. Chen","doi":"10.1109/vlsitechnologyandcir46769.2022.9830462","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830462","url":null,"abstract":"For the first time, we demonstrate Ferroelectric Tunneling Junctions (FTJs) with both (a) 10-year retention time projected from measured data and (b) robust endurance (> 108 cycles) with the on-off ratio >10× by inserting a 1.8nm Al2O3 interfacial layer (IL) into the FTJs. Compared with Metal-Ferroelectric-Metal (MFM) FTJs, higher orthorhombic phase (~6×) was verified by physical analyses and first-principles calculations in our proposed Metal-Ferroelectric-IL-Metal (MFIM) FTJs, resulting in the remanent polarization (2Pr) which improves the retention and the on-off ratio significantly.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"120850434","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Low-capacitance Ultrathin InGaAs Membrane Photodetector on Si Slot Waveguide towards Receiver-less System 面向无接收机系统的Si槽波导低电容超薄InGaAs薄膜光电探测器
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830204
Tomohiro Akazawa, D. Wu, Kai Sumita, N. Sekine, M. Okano, K. Toprasertpong, Shinichi Takagi, M. Takenaka
We proposed a Si/III-V hybrid waveguide photodetector consisting of an ultrathin InGaAs membrane and Si slot waveguide, enabling low capacitance and high responsivity simultaneously. The strong optical confinement in a Si slot waveguide enhanced optical absorption in the InGaAs membrane. As a result, we successfully demonstrated high responsivity of 1 A/W and sufficiently small capacitance of 1.9 fF to realize a receiver-less (TIA-less) system.
我们提出了一种由超薄InGaAs薄膜和Si槽波导组成的Si/III-V混合波导光电探测器,同时实现了低电容和高响应。硅槽波导中的强光约束增强了InGaAs膜的光吸收。因此,我们成功地展示了1 a /W的高响应性和1.9 fF的足够小的电容,以实现无接收器(TIA-less)系统。
{"title":"Low-capacitance Ultrathin InGaAs Membrane Photodetector on Si Slot Waveguide towards Receiver-less System","authors":"Tomohiro Akazawa, D. Wu, Kai Sumita, N. Sekine, M. Okano, K. Toprasertpong, Shinichi Takagi, M. Takenaka","doi":"10.1109/vlsitechnologyandcir46769.2022.9830204","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830204","url":null,"abstract":"We proposed a Si/III-V hybrid waveguide photodetector consisting of an ultrathin InGaAs membrane and Si slot waveguide, enabling low capacitance and high responsivity simultaneously. The strong optical confinement in a Si slot waveguide enhanced optical absorption in the InGaAs membrane. As a result, we successfully demonstrated high responsivity of 1 A/W and sufficiently small capacitance of 1.9 fF to realize a receiver-less (TIA-less) system.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124385139","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A 17–95.6 TOPS/W Deep Learning Inference Accelerator with Per-Vector Scaled 4-bit Quantization for Transformers in 5nm 一种17-95.6 TOPS/W深度学习推理加速器,用于5nm变压器的逐向量缩放4位量化
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830277
Ben Keller, Rangharajan Venkatesan, Steve Dai, S. Tell, B. Zimmer, W. Dally, C. T. Gray, Brucek Khailany
We present a deep neural network (DNN) accelerator designed for efficient execution of transformer-based DNNs, which have become ubiquitous for natural language processing tasks. DNN inference accelerators often employ specialized hardware techniques such as reduced precision to improve energy efficiency, but many of these techniques result in catastrophic accuracy loss on transformers. The proposed accelerator supports per-vector scaled quantization and approximate softmax to enable the use of 4-bit arithmetic with little accuracy loss. The 5nm prototype achieves 95.6 TOPS/W in benchmarking and 1711 inferences/s/W with only 0.7% accuracy loss on BERT, demonstrating a practical accelerator design for energy-efficient inference with transformers.
我们提出了一个深度神经网络(DNN)加速器,设计用于有效执行基于变压器的DNN,这在自然语言处理任务中已经无处不在。DNN推理加速器通常采用专门的硬件技术,例如降低精度以提高能源效率,但这些技术中的许多会导致变压器的灾难性精度损失。所提出的加速器支持逐向量缩放量化和近似softmax,使使用4位算术具有很小的精度损失。5nm原型在基准测试中达到95.6 TOPS/W,在BERT上达到1711 inference /s/W,精度损失仅为0.7%,展示了一种实用的加速器设计,用于变压器的节能推理。
{"title":"A 17–95.6 TOPS/W Deep Learning Inference Accelerator with Per-Vector Scaled 4-bit Quantization for Transformers in 5nm","authors":"Ben Keller, Rangharajan Venkatesan, Steve Dai, S. Tell, B. Zimmer, W. Dally, C. T. Gray, Brucek Khailany","doi":"10.1109/vlsitechnologyandcir46769.2022.9830277","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830277","url":null,"abstract":"We present a deep neural network (DNN) accelerator designed for efficient execution of transformer-based DNNs, which have become ubiquitous for natural language processing tasks. DNN inference accelerators often employ specialized hardware techniques such as reduced precision to improve energy efficiency, but many of these techniques result in catastrophic accuracy loss on transformers. The proposed accelerator supports per-vector scaled quantization and approximate softmax to enable the use of 4-bit arithmetic with little accuracy loss. The 5nm prototype achieves 95.6 TOPS/W in benchmarking and 1711 inferences/s/W with only 0.7% accuracy loss on BERT, demonstrating a practical accelerator design for energy-efficient inference with transformers.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127911321","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
Optimal Cell Structure/Operation Design of 3D Semicircular Split-gate Cells for Ultra-high-density Flash Memory 超高密度闪存三维半圆形分栅单元的优化结构/操作设计
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830513
T. Morooka, T. Ishikawa, M. Komura, T. Kato, Y. Koyama, Y. Han, Y. Sugawara, D. Kuwabara, Y. Arayashiki, A. Murayama, K. Nishiyama, K. Sugimae, T. Ogura, H. Takeda, N. Kariya, Y. Goki, S. Konuma, Y. Kamiya, H. Yamashita, H. Shiga, K. Itagaki, R. Tanaka, T. Maeda, N. Ohtani, M. Fujiwara
Three-dimensional (3D) semicircular split-gate floating-gate (FG) cells have been successfully developed for both boosting cell density per footprint and expanding the capability of multiple bits per cell. FG structure engineering mitigates program/erase (P/E) window reduction due to the fringing field effect in the split-gate cell. Front-side and back-side cells which share the same channel can be separately read by reducing back-side cell leakage current by means of back-gate bias control. Moreover, FG structure enables tight Vth distribution by suppressing random telegraph noise (RTN) increase due to small cell area. As a result, the distributions of four bits/cell (QLC) and five bits/cell (PLC) have been experimentally demonstrated by the split-gate cell arrays for the first time.
三维(3D)半圆分栅浮栅(FG)单元已经成功开发,既可以提高每个单元的密度,又可以扩展每个单元的多比特容量。FG结构工程减轻了由于分栅单元中的边缘场效应而导致的程序/擦除(P/E)窗口减小。通过后门偏置控制减少背面电池漏电流,可以将共用同一通道的前后电池分开读取。此外,FG结构通过抑制由于小区面积小而增加的随机电报噪声(RTN),使Vth分布紧密。实验结果表明,四比特/单元(QLC)和五比特/单元(PLC)的分布首次得到了实验证明。
{"title":"Optimal Cell Structure/Operation Design of 3D Semicircular Split-gate Cells for Ultra-high-density Flash Memory","authors":"T. Morooka, T. Ishikawa, M. Komura, T. Kato, Y. Koyama, Y. Han, Y. Sugawara, D. Kuwabara, Y. Arayashiki, A. Murayama, K. Nishiyama, K. Sugimae, T. Ogura, H. Takeda, N. Kariya, Y. Goki, S. Konuma, Y. Kamiya, H. Yamashita, H. Shiga, K. Itagaki, R. Tanaka, T. Maeda, N. Ohtani, M. Fujiwara","doi":"10.1109/vlsitechnologyandcir46769.2022.9830513","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830513","url":null,"abstract":"Three-dimensional (3D) semicircular split-gate floating-gate (FG) cells have been successfully developed for both boosting cell density per footprint and expanding the capability of multiple bits per cell. FG structure engineering mitigates program/erase (P/E) window reduction due to the fringing field effect in the split-gate cell. Front-side and back-side cells which share the same channel can be separately read by reducing back-side cell leakage current by means of back-gate bias control. Moreover, FG structure enables tight Vth distribution by suppressing random telegraph noise (RTN) increase due to small cell area. As a result, the distributions of four bits/cell (QLC) and five bits/cell (PLC) have been experimentally demonstrated by the split-gate cell arrays for the first time.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129151052","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Fully Integrated 2x2 MIMO Real Simultaneous Dual Band WiFi CMOS Power Amplifiers With a Single Inductor Multiple Output Supply Modulation Technique 全集成2x2 MIMO实时同步双频WiFi CMOS功率放大器,采用单电感多输出电源调制技术
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830469
Ji-Seon Paek, Jeongkwan Lee, Wan Kim, Jun-Suk Bang, Jongwoo Lee
This paper presents fully integrated 2x2 MIMO dual-band CMOS power amplifiers with a simultaneous multiple PA supply voltage modulation technique using a switched-capacitor (SC)-based single inductor multiple output (SIMO) DC-DC converter. For 802.11ax MCS11 160/40MHz signals, the designed A/G-band CMOS PAs using the proposed supply modulation scheme achieve -40dB EVM at 15.7/18.5dBm output power and save 340/334mW of dc power consumption compared to 3.3V fixed supply voltage PA, respectively.
本文介绍了采用基于开关电容(SC)的单电感多输出(SIMO) DC-DC转换器的全集成2x2 MIMO双带CMOS功率放大器,该放大器采用同步多PA电源电压调制技术。对于802.11ax MCS11 160/40MHz信号,采用本文提出的电源调制方案设计的A/ g波段CMOS放大器在15.7/18.5dBm输出功率下实现-40dB EVM,与3.3V固定电源电压放大器相比,分别节省340/334mW直流功耗。
{"title":"Fully Integrated 2x2 MIMO Real Simultaneous Dual Band WiFi CMOS Power Amplifiers With a Single Inductor Multiple Output Supply Modulation Technique","authors":"Ji-Seon Paek, Jeongkwan Lee, Wan Kim, Jun-Suk Bang, Jongwoo Lee","doi":"10.1109/vlsitechnologyandcir46769.2022.9830469","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830469","url":null,"abstract":"This paper presents fully integrated 2x2 MIMO dual-band CMOS power amplifiers with a simultaneous multiple PA supply voltage modulation technique using a switched-capacitor (SC)-based single inductor multiple output (SIMO) DC-DC converter. For 802.11ax MCS11 160/40MHz signals, the designed A/G-band CMOS PAs using the proposed supply modulation scheme achieve -40dB EVM at 15.7/18.5dBm output power and save 340/334mW of dc power consumption compared to 3.3V fixed supply voltage PA, respectively.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126975192","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Perspective on Low-dimensional Channel Materials for Extremely Scaled CMOS 极尺度CMOS低维通道材料研究进展
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830447
S. Su, E. Chen, T. Hung, Mengyao Li, G. Pitner, Chao-Ching Cheng, Han Wang, J. Cai, H. P. Wong, I. Radu
Low-dimensional materials (LDMs) such as two-dimensional transition metal dichalcogenides (2D TMDs) and carbon nanotubes (CNTs) have the potential to be the channel material in extremely scaled CMOS transistors. Based on current hardware data, the design space for contacted-gate pitch (CGP) scaled transistors is explored for these materials. The ON current, sources of leakage which limit OFF current, and CGP scaling potential are analyzed by separately considering effects from shrinking the gate length, contact length, and extension length. Doping of LDM is the main challenge to reduce contact and extension resistance for scaled transistors. Experimental control of p-type doping of 2D is reported as an example of doping impact.
低维材料(ldm)如二维过渡金属二硫族化合物(2D TMDs)和碳纳米管(CNTs)具有成为极规模化CMOS晶体管沟道材料的潜力。基于现有的硬件数据,探索了这些材料的接触栅极间距(CGP)缩放晶体管的设计空间。分别考虑栅极长度、触点长度和延伸长度的收缩效应,分析了导通电流、限制关断电流的泄漏源和CGP标度电位。LDM掺杂是降低微缩晶体管接触电阻和延伸电阻的主要挑战。本文报道了二维p型掺杂的实验控制,作为掺杂影响的一个例子。
{"title":"Perspective on Low-dimensional Channel Materials for Extremely Scaled CMOS","authors":"S. Su, E. Chen, T. Hung, Mengyao Li, G. Pitner, Chao-Ching Cheng, Han Wang, J. Cai, H. P. Wong, I. Radu","doi":"10.1109/vlsitechnologyandcir46769.2022.9830447","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830447","url":null,"abstract":"Low-dimensional materials (LDMs) such as two-dimensional transition metal dichalcogenides (2D TMDs) and carbon nanotubes (CNTs) have the potential to be the channel material in extremely scaled CMOS transistors. Based on current hardware data, the design space for contacted-gate pitch (CGP) scaled transistors is explored for these materials. The ON current, sources of leakage which limit OFF current, and CGP scaling potential are analyzed by separately considering effects from shrinking the gate length, contact length, and extension length. Doping of LDM is the main challenge to reduce contact and extension resistance for scaled transistors. Experimental control of p-type doping of 2D is reported as an example of doping impact.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131007288","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
5G mmWave Power Amplifier and Low-Noise Amplifier in 300mm GaN-on-Si Technology 5G毫米波功率放大器和300mm GaN-on-Si技术的低噪声放大器
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830383
Q. Yu, H. Then, D. Thomson, Jessica C. Chou, Jeffrey Garrett, I-Lun Huang, I. Momson, Surej Ravikumar, Seahee Hwangbo, A. Latorre-Rey, Ananda Roy, M. Radosavljevic, M. Beumer, P. Koirala, N. Thomas, N. Nair, H. Vora, S. Bader, J. Rode, J. Jensen, S. Rami
This paper presents fully integrated power amplifier (PA) and low-noise amplifier (LNA) targeting 5G mmWave band n260 (37GHz-40GHz) in 300mm GaN-on-Si technology. At 39.5GHz, the PA achieves measured Psat, peak PAE, linear gain, and OP1dB of 25dBm, 38.8%, 24.8dB, and 19.8dBm, occupying only 0.079mm2. The LNA achieves 24.6dB gain, 2.9dB noise figure, and -11.4dBm IIP3 at 38GHz. Both circuits are compact and viable candidates for phased arrays in mobile devices. This is industry’s first demonstration of mmWave circuits in 300mm GaN-on-Si technology.
本文提出了一种基于300mm GaN-on-Si技术的5G毫米波n260 (37GHz-40GHz)全集成功率放大器(PA)和低噪声放大器(LNA)。在39.5GHz时,测得的Psat、峰值PAE、线性增益和OP1dB分别为25dBm、38.8%、24.8dB和19.8dBm,仅占用0.079mm2。该LNA在38GHz时可实现24.6dB增益、2.9dB噪声系数和-11.4dBm IIP3。这两种电路都是紧凑且可行的移动设备相控阵候选电路。这是业界首次在300mm GaN-on-Si技术中演示毫米波电路。
{"title":"5G mmWave Power Amplifier and Low-Noise Amplifier in 300mm GaN-on-Si Technology","authors":"Q. Yu, H. Then, D. Thomson, Jessica C. Chou, Jeffrey Garrett, I-Lun Huang, I. Momson, Surej Ravikumar, Seahee Hwangbo, A. Latorre-Rey, Ananda Roy, M. Radosavljevic, M. Beumer, P. Koirala, N. Thomas, N. Nair, H. Vora, S. Bader, J. Rode, J. Jensen, S. Rami","doi":"10.1109/vlsitechnologyandcir46769.2022.9830383","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830383","url":null,"abstract":"This paper presents fully integrated power amplifier (PA) and low-noise amplifier (LNA) targeting 5G mmWave band n260 (37GHz-40GHz) in 300mm GaN-on-Si technology. At 39.5GHz, the PA achieves measured Psat, peak PAE, linear gain, and OP1dB of 25dBm, 38.8%, 24.8dB, and 19.8dBm, occupying only 0.079mm2. The LNA achieves 24.6dB gain, 2.9dB noise figure, and -11.4dBm IIP3 at 38GHz. Both circuits are compact and viable candidates for phased arrays in mobile devices. This is industry’s first demonstration of mmWave circuits in 300mm GaN-on-Si technology.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131282379","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Specificities of linear Si QD arrays integration and characterization 线性硅量子点阵列的特性集成与表征
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830352
H. Niebojewski, B. Bertrand, E. Nowak, T. Bedecarrats, B. C. Paz, L. Contamin, P. Mortemousque, V. Labracherie, L. Brevard, H. Sahin, J. Charbonnier, C. Thomas, M. Assous, M. Cassé, M. Urdampilleta, Y. Niquet, F. Perruchot, F. Gaillard, S. D. Franceschi, T. Meunier, M. Vinet
The low temperature operation of quantum computing devices implies developing characterization protocols, from extensive statistical tests to targeted device screening at cryogenic temperature. This paper reviews major integration constraints arising in linear Si quantum dots arrays and their implication on both the device operation and electrical characterization.
量子计算设备的低温运行意味着开发表征协议,从广泛的统计测试到低温下的目标设备筛选。本文综述了线性硅量子点阵列中出现的主要集成约束及其对器件操作和电学特性的影响。
{"title":"Specificities of linear Si QD arrays integration and characterization","authors":"H. Niebojewski, B. Bertrand, E. Nowak, T. Bedecarrats, B. C. Paz, L. Contamin, P. Mortemousque, V. Labracherie, L. Brevard, H. Sahin, J. Charbonnier, C. Thomas, M. Assous, M. Cassé, M. Urdampilleta, Y. Niquet, F. Perruchot, F. Gaillard, S. D. Franceschi, T. Meunier, M. Vinet","doi":"10.1109/vlsitechnologyandcir46769.2022.9830352","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830352","url":null,"abstract":"The low temperature operation of quantum computing devices implies developing characterization protocols, from extensive statistical tests to targeted device screening at cryogenic temperature. This paper reviews major integration constraints arising in linear Si quantum dots arrays and their implication on both the device operation and electrical characterization.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132814949","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A 3V 15b 157μW Cryo-CMOS DAC for Multiplexed Spin-Qubit Biasing 用于多路自旋-量子位偏置的3V 15b 157μW Cryo-CMOS DAC
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830309
Luc Enthoven, J. V. Staveren, Jiang Gong, M. Babaie, F. Sebastiano
This paper presents a 15b cryo-CMOS DAC for multiplexed spin-qubit biasing implemented in a 22-nm FinFET process. The integrating-DAC architecture and the robust digitally-assisted high-voltage output stage enable a low power dissipation (157μW) and small area (0.08mm2) independent of the number of biased qubits, and a 3V output range well beyond the nominal supply. This represents the first scalable solution for cryo-CMOS qubit biasing, which achieves a 1.8× better voltage resolution with a lower DNL over a 3× larger output range than the current state-of-the-art.
本文提出了一种用于多路自旋量子位偏置的15b cro - cmos DAC,实现于22nm FinFET工艺中。集成dac架构和强大的数字辅助高压输出级使其具有低功耗(157μW)和小面积(0.08mm2),与偏置量子比特的数量无关,以及远远超出标称电源的3V输出范围。这代表了cryo-CMOS量子比特偏置的第一个可扩展解决方案,与目前最先进的输出范围相比,它实现了1.8倍的电压分辨率和更低的DNL,输出范围提高了3倍。
{"title":"A 3V 15b 157μW Cryo-CMOS DAC for Multiplexed Spin-Qubit Biasing","authors":"Luc Enthoven, J. V. Staveren, Jiang Gong, M. Babaie, F. Sebastiano","doi":"10.1109/vlsitechnologyandcir46769.2022.9830309","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830309","url":null,"abstract":"This paper presents a 15b cryo-CMOS DAC for multiplexed spin-qubit biasing implemented in a 22-nm FinFET process. The integrating-DAC architecture and the robust digitally-assisted high-voltage output stage enable a low power dissipation (157μW) and small area (0.08mm2) independent of the number of biased qubits, and a 3V output range well beyond the nominal supply. This represents the first scalable solution for cryo-CMOS qubit biasing, which achieves a 1.8× better voltage resolution with a lower DNL over a 3× larger output range than the current state-of-the-art.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133490307","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
期刊
2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1