首页 > 最新文献

2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)最新文献

英文 中文
Endurance > 1011 Cycling of 3D GAA Nanosheet Ferroelectric FET with Stacked HfZrO2 to Homogenize Corner Field Toward Mitigate Dead Zone for High-Density eNVM 高密度eNVM中采用叠置HfZrO2均匀化角场的三维GAA纳米片铁电场效应管耐久性> 1011循环
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830345
C.-Y. Liao, K.-Y. Hsiang, Z.-F. Lou, Hsuan-Chi Tseng, C. Lin, Z.-X. Li, F.-C. Hsieh, C. C. Wang, Fu-Sheng Chang, Wei-Chang Ray, Y. Tseng, Shu-Tong Chang, T. C. Chen, M. Lee
After 1011 high endurance cycles with memory window (MW) =0.9 V is achieved for the 3D gate-all-around (GAA) nanosheet (NS) ferroelectric field-effect transistor (FeFET) based on double-HZO; the aim is to homogenize the corner field and mitigate dead zones. The interlayer Al2O3 or TiN in the double-HZO exhibits MW enhancement or low access voltage, respectively. The proposed MFMFS GAA-FeFET demonstrates a low VP/E = ±3.5 V (±2.3 MV/cm), large MW = 1.3 V, >1011 robust endurance cycles, and stable storage with data retention of >2×104 s; therefore, physical dimension scaling of the embedded nonvolatile memory (eNVM) is feasible for future generations.
基于双hzo的三维栅极全能(GAA)纳米片铁电场效应晶体管(FeFET)经过1011次高寿命循环,存储器窗口(MW) =0.9 V;目的是均匀化角场,减少死区。双hzo层间Al2O3和TiN分别表现出MW增强和低接入电压。所提出的MFMFS GAA-FeFET具有低VP/E =±3.5 V(±2.3 MV/cm),大MW = 1.3 V, >1011个稳健的持久周期,稳定的存储,数据保留时间>2×104 s;因此,嵌入式非易失性存储器(eNVM)的物理尺寸缩放在未来是可行的。
{"title":"Endurance > 1011 Cycling of 3D GAA Nanosheet Ferroelectric FET with Stacked HfZrO2 to Homogenize Corner Field Toward Mitigate Dead Zone for High-Density eNVM","authors":"C.-Y. Liao, K.-Y. Hsiang, Z.-F. Lou, Hsuan-Chi Tseng, C. Lin, Z.-X. Li, F.-C. Hsieh, C. C. Wang, Fu-Sheng Chang, Wei-Chang Ray, Y. Tseng, Shu-Tong Chang, T. C. Chen, M. Lee","doi":"10.1109/vlsitechnologyandcir46769.2022.9830345","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830345","url":null,"abstract":"After 1011 high endurance cycles with memory window (MW) =0.9 V is achieved for the 3D gate-all-around (GAA) nanosheet (NS) ferroelectric field-effect transistor (FeFET) based on double-HZO; the aim is to homogenize the corner field and mitigate dead zones. The interlayer Al2O3 or TiN in the double-HZO exhibits MW enhancement or low access voltage, respectively. The proposed MFMFS GAA-FeFET demonstrates a low VP/E = ±3.5 V (±2.3 MV/cm), large MW = 1.3 V, >1011 robust endurance cycles, and stable storage with data retention of >2×104 s; therefore, physical dimension scaling of the embedded nonvolatile memory (eNVM) is feasible for future generations.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129951666","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
A 22nm 3.5TOPS/W Flexible Micro-Robotic Vision SoC with 2MB eMRAM for Fully-on-Chip Intelligence 22nm 3.5TOPS/W柔性微机器人视觉SoC,具有2MB eMRAM,可实现全片上智能
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830340
Qirui Zhang, Hyochan An, Zichen Fan, Zhehong Wang, Ziyun Li, Guanru Wang, Hun-Seok Kim, D. Blaauw, D. Sylvester
We present a highly flexible micro-robotic vision SoC featuring a hybrid Processing Element (PE) for efficient processing of both Convolutional Neural Network (CNN) and non-CNN vision tasks with 2MB embedded MRAM for retentive fully-on-chip weight storage. Fabricated in 22nm, the design achieves 0.22nJ/pix for Harris corner detection (a non-CNN vision task) and 3.5TOPS/W (INT16) for CNN, a 60% efficiency improvement over state-of-the-art NVM-based NN ASICs.
我们提出了一种高度灵活的微型机器人视觉SoC,具有混合处理元件(PE),用于有效处理卷积神经网络(CNN)和非CNN视觉任务,并具有2MB嵌入式MRAM,用于保留全片上重量存储。该设计采用22nm工艺制造,Harris角点检测(非CNN视觉任务)的效率为0.22nJ/pix, CNN的效率为3.5TOPS/W (INT16),比最先进的基于nvm的NN asic效率提高了60%。
{"title":"A 22nm 3.5TOPS/W Flexible Micro-Robotic Vision SoC with 2MB eMRAM for Fully-on-Chip Intelligence","authors":"Qirui Zhang, Hyochan An, Zichen Fan, Zhehong Wang, Ziyun Li, Guanru Wang, Hun-Seok Kim, D. Blaauw, D. Sylvester","doi":"10.1109/vlsitechnologyandcir46769.2022.9830340","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830340","url":null,"abstract":"We present a highly flexible micro-robotic vision SoC featuring a hybrid Processing Element (PE) for efficient processing of both Convolutional Neural Network (CNN) and non-CNN vision tasks with 2MB embedded MRAM for retentive fully-on-chip weight storage. Fabricated in 22nm, the design achieves 0.22nJ/pix for Harris corner detection (a non-CNN vision task) and 3.5TOPS/W (INT16) for CNN, a 60% efficiency improvement over state-of-the-art NVM-based NN ASICs.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128228433","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Wafer-Scale Bi-Assisted Semi-Auto Dry Transfer and Fabrication of High-Performance Monolayer CVD WS2 Transistor 晶圆级双辅助半自动干转移及高性能单层CVD WS2晶体管的制备
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830376
Ming-Yang Li, Ching-Hao Hsu, Shin-Wei Shen, Ang-Sheng Chou, Y. Lin, Chih-Piao Chuu, Ning Yang, Sui-An Chou, Lina Huang, Chao-Ching Cheng, W. Woon, S. Liao, Chih-I Wu, Lain‐Jong Li, I. Radu, H. P. Wong, Han Wang
A novel wafer-scale semi-automated dry transfer process for monolayer (1L) CVD WS2 was developed utilizing the weakly coupled interface between semimetal (Bi) and two-dimensional (2D) semiconductor (WS2). Bi semimetal serves as a gently adhesive transfer template for 2D materials, introducing minimal additional defects during the transfer process. Based on 2D materials processed using this new transfer method, semimetal-contacted (Bi and Sb) monolayer CVD WS2 nFETs were further demonstrated at wafer scale. Our CVD 1L WS2 nFETs fabricated using semimetal-assisted transfer with semimetal (Bi and Sb) contacts show record high on-current of 250 µA/µm and 243 µA/µm at VDS = 1 V, and record low contact resistance of 0.63 kΩ•µm and 0.73 kΩ•µm, respectively.
利用半金属(Bi)和二维(2D)半导体(WS2)之间的弱耦合界面,开发了一种新型的单层(1L) CVD WS2的半自动化干转移工艺。铋半金属可作为二维材料的轻粘转移模板,在转移过程中引入最小的额外缺陷。基于该转移方法制备的二维材料,进一步在晶片尺度上验证了半金属接触(Bi和Sb)单层CVD WS2 nfet。我们的CVD 1L WS2 nfet采用半金属辅助转移制备,半金属(Bi和Sb)触点在VDS = 1 V时具有250µA/µm和243µA/µm的高导通电流,触点电阻分别为0.63 kΩ•µm和0.73 kΩ•µm。
{"title":"Wafer-Scale Bi-Assisted Semi-Auto Dry Transfer and Fabrication of High-Performance Monolayer CVD WS2 Transistor","authors":"Ming-Yang Li, Ching-Hao Hsu, Shin-Wei Shen, Ang-Sheng Chou, Y. Lin, Chih-Piao Chuu, Ning Yang, Sui-An Chou, Lina Huang, Chao-Ching Cheng, W. Woon, S. Liao, Chih-I Wu, Lain‐Jong Li, I. Radu, H. P. Wong, Han Wang","doi":"10.1109/vlsitechnologyandcir46769.2022.9830376","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830376","url":null,"abstract":"A novel wafer-scale semi-automated dry transfer process for monolayer (1L) CVD WS2 was developed utilizing the weakly coupled interface between semimetal (Bi) and two-dimensional (2D) semiconductor (WS2). Bi semimetal serves as a gently adhesive transfer template for 2D materials, introducing minimal additional defects during the transfer process. Based on 2D materials processed using this new transfer method, semimetal-contacted (Bi and Sb) monolayer CVD WS2 nFETs were further demonstrated at wafer scale. Our CVD 1L WS2 nFETs fabricated using semimetal-assisted transfer with semimetal (Bi and Sb) contacts show record high on-current of 250 µA/µm and 243 µA/µm at VDS = 1 V, and record low contact resistance of 0.63 kΩ•µm and 0.73 kΩ•µm, respectively.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128885898","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Reliable Sub-nanosecond MRAM with Double Spin-torque Magnetic Tunnel Junctions 具有双自旋转矩磁隧道结的可靠亚纳秒MRAM
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830306
C. Safranski, G. Hu, J. Sun, P. Hashemi, S. Brown, L. Buzi, C. D'Emic, E. Edwards, E. Galligan, M. Gottwald, O. Gunawan, S. Karimeddiny, H. Jung, J. Kim, K. Latzko, P. Trouilloud, S. Zare, D. Worledge
We demonstrate reliable sub-nanosecond switching in two terminal STT-MRAM devices by using Double Spin-torque Magnetic Tunnel Junctions (DS-MTJs). Write-error-rate (WER) of 1E-6 was achieved in 194 devices with 250 ps write pulses and tight distributions. WER = 1E-6 was also demonstrated over a temperature range of -40°C to 85°C in a single device with 225 ps write pulses. No degradation was observed after 1E10 write cycles, written with 250 ps write pulses. We compare the DS-MTJ device switching performance to published results from state-of-the-art three terminal Spin-Orbit-Torque (SOT) MRAM devices and show a 10x reduction in switching current density (Jc) and 3-10x reduction in power consumption for devices with similar energy barriers (Eb).
我们利用双自旋转矩磁隧道结(DS-MTJs)在两个终端STT-MRAM器件中实现了可靠的亚纳秒开关。1E-6的写错误率(WER)在250 ps写脉冲和紧分布的194个器件上实现。WER = 1E-6也在-40°C至85°C的温度范围内进行了演示,在单个器件中具有225 ps的写入脉冲。在以250 ps写入脉冲写入1E10个写入周期后,没有观察到性能下降。我们将DS-MTJ器件的开关性能与已发表的最先进的三端自旋-轨道-扭矩(SOT) MRAM器件的结果进行了比较,结果显示,对于具有类似能量势垒(Eb)的器件,开关电流密度(Jc)降低了10倍,功耗降低了3-10倍。
{"title":"Reliable Sub-nanosecond MRAM with Double Spin-torque Magnetic Tunnel Junctions","authors":"C. Safranski, G. Hu, J. Sun, P. Hashemi, S. Brown, L. Buzi, C. D'Emic, E. Edwards, E. Galligan, M. Gottwald, O. Gunawan, S. Karimeddiny, H. Jung, J. Kim, K. Latzko, P. Trouilloud, S. Zare, D. Worledge","doi":"10.1109/vlsitechnologyandcir46769.2022.9830306","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830306","url":null,"abstract":"We demonstrate reliable sub-nanosecond switching in two terminal STT-MRAM devices by using Double Spin-torque Magnetic Tunnel Junctions (DS-MTJs). Write-error-rate (WER) of 1E-6 was achieved in 194 devices with 250 ps write pulses and tight distributions. WER = 1E-6 was also demonstrated over a temperature range of -40°C to 85°C in a single device with 225 ps write pulses. No degradation was observed after 1E10 write cycles, written with 250 ps write pulses. We compare the DS-MTJ device switching performance to published results from state-of-the-art three terminal Spin-Orbit-Torque (SOT) MRAM devices and show a 10x reduction in switching current density (Jc) and 3-10x reduction in power consumption for devices with similar energy barriers (Eb).","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131213520","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
An Embedded Multi-Die Active Bridge (EMAB) Chip for Rapid-Prototype Programmable 2.5D/3D Packaging Technology 用于快速原型可编程2.5D/3D封装技术的嵌入式多模有源桥接(EMAB)芯片
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830188
Jie Zhang, Wei Lu, Po-Tsang Huang, Sih-Han Li, Tsung-Yi Hung, Shih-Hsien Wu, M. Dai, I-Shan Chung, Wen-Chao Chen, Chin-Hung Wang, S. Sheu, Hung-Ming Chen, Kuan-Neng Chen, W. Lo, Chih-I Wu
2.5D/3D integration combines multiple dies or chiplets into a single package through a silicon interposer and through-silicon-vias (TSVs). However, the wire routing of redistribution layer (RDL) on an interposer is time-consuming and expensive. Therefore, this work demonstrates the first programmable 2.5D/3D integration by an embedded multi-die active bridge (EMAB) chip for fast 2.5D/3D prototype proof. The EMAB chip is a programmable bridge and realized by a checkboard and super highways to connect I/Os of multiple dies. The control of programmable switches in EMAB is based on the information stored in the one-time programming (OTP) memory. To further improving the data rates of switches in the checkboard and super highway, a forward body-bias control is utilized to reduce the turn-on resistance. The maximum data rate of the super highway is up to 1Gbps and the data rate of the checkboard is 100Mbps through 20 I/O blocks. The proposed programmable advanced package technology is a fast time-to-market and low-cost 2.5D/3D integration solution for various IoT applications.
2.5D/3D集成将多个晶片或芯片通过硅中间层和硅通孔(tsv)集成到单个封装中。然而,中间层上的重新分配层(RDL)的布线既耗时又昂贵。因此,这项工作通过嵌入式多模有源电桥(EMAB)芯片演示了第一个可编程2.5D/3D集成,用于快速2.5D/3D原型验证。EMAB芯片是一个可编程的桥接电路,通过棋盘和高速公路实现多个芯片的I/ o连接。EMAB中可编程开关的控制是基于存储在一次性编程(OTP)存储器中的信息。为了进一步提高检查板和高速公路上的开关的数据速率,采用正向体偏控制来减小导通电阻。高速公路的最大数据速率可达1Gbps,通过20个I/O块,校验板的数据速率可达100Mbps。提出的可编程先进封装技术是一种快速上市和低成本的2.5D/3D集成解决方案,适用于各种物联网应用。
{"title":"An Embedded Multi-Die Active Bridge (EMAB) Chip for Rapid-Prototype Programmable 2.5D/3D Packaging Technology","authors":"Jie Zhang, Wei Lu, Po-Tsang Huang, Sih-Han Li, Tsung-Yi Hung, Shih-Hsien Wu, M. Dai, I-Shan Chung, Wen-Chao Chen, Chin-Hung Wang, S. Sheu, Hung-Ming Chen, Kuan-Neng Chen, W. Lo, Chih-I Wu","doi":"10.1109/vlsitechnologyandcir46769.2022.9830188","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830188","url":null,"abstract":"2.5D/3D integration combines multiple dies or chiplets into a single package through a silicon interposer and through-silicon-vias (TSVs). However, the wire routing of redistribution layer (RDL) on an interposer is time-consuming and expensive. Therefore, this work demonstrates the first programmable 2.5D/3D integration by an embedded multi-die active bridge (EMAB) chip for fast 2.5D/3D prototype proof. The EMAB chip is a programmable bridge and realized by a checkboard and super highways to connect I/Os of multiple dies. The control of programmable switches in EMAB is based on the information stored in the one-time programming (OTP) memory. To further improving the data rates of switches in the checkboard and super highway, a forward body-bias control is utilized to reduce the turn-on resistance. The maximum data rate of the super highway is up to 1Gbps and the data rate of the checkboard is 100Mbps through 20 I/O blocks. The proposed programmable advanced package technology is a fast time-to-market and low-cost 2.5D/3D integration solution for various IoT applications.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134536656","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Scaling Dual-Gate Ultra-thin a-IGZO FET to 30 nm Channel Length with Record-high Gm,max of 559 µS/µm at VDS=1 V, Record-low DIBL of 10 mV/V and Nearly Ideal SS of 63 mV/dec 将双栅超薄a-IGZO场效应管缩放至30 nm通道长度,在VDS=1 V时具有创纪录的最高Gm,最大559µS/µm,创纪录的低DIBL为10 mV/V,接近理想的SS为63 mV/dec
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830389
Kaifei Chen, J. Niu, Guanhua Yang, Meng-xin Liu, Wendong Lu, Fuxi Liao, Kailiang Huang, Xinlv Duan, Congyan Lu, Jiawei Wang, Lingfei Wang, Mengmeng Li, Di Geng, Chao Zhao, Guilei Wang, Nianduan Lu, Ling Li, Ming Liu
We experimentally prove that amorphous IGZO FET can be scaled down by connected dual-gate design with enhanced electrostatic control. By connected dual-gate operation and scaled dual stacks, the short channel device (LCH=30 nm) achieves near ideal SS of 63 mV/dec and ultra-high on-state current (ION) of 615 µA/µm at VGS-VTH=2 V&VDS=1 V. By this design, record-high transconductance (Gm) of 559 µS/µm at VDS=1 V and record-low drain-induced-barrier-lowering (DIBL) of 10 mV/V are achieved, to our best knowledge, among all the a-IGZO transistors with sub-100 nm channel length reported so far.
实验证明,采用双栅极连接设计和增强的静电控制,可以缩小非晶IGZO场效应管的尺寸。通过连接双栅操作和缩放双堆叠,短通道器件(LCH=30 nm)在VGS-VTH=2 V和vds =1 V时实现了接近理想的63 mV/dec的SS和615µA/µm的超高导通电流(ION)。据我们所知,该设计在VDS=1 V时实现了创纪录的559µS/µm的跨导(Gm)和10 mV/V的极低漏极诱导降垒(DIBL),这是迄今为止报道的所有通道长度低于100 nm的a-IGZO晶体管中实现的。
{"title":"Scaling Dual-Gate Ultra-thin a-IGZO FET to 30 nm Channel Length with Record-high Gm,max of 559 µS/µm at VDS=1 V, Record-low DIBL of 10 mV/V and Nearly Ideal SS of 63 mV/dec","authors":"Kaifei Chen, J. Niu, Guanhua Yang, Meng-xin Liu, Wendong Lu, Fuxi Liao, Kailiang Huang, Xinlv Duan, Congyan Lu, Jiawei Wang, Lingfei Wang, Mengmeng Li, Di Geng, Chao Zhao, Guilei Wang, Nianduan Lu, Ling Li, Ming Liu","doi":"10.1109/vlsitechnologyandcir46769.2022.9830389","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830389","url":null,"abstract":"We experimentally prove that amorphous IGZO FET can be scaled down by connected dual-gate design with enhanced electrostatic control. By connected dual-gate operation and scaled dual stacks, the short channel device (L<inf>CH</inf>=30 nm) achieves near ideal SS of 63 mV/dec and ultra-high on-state current (I<inf>ON</inf>) of 615 µA/µm at V<inf>GS</inf>-V<inf>TH</inf>=2 V&V<inf>DS</inf>=1 V. By this design, record-high transconductance (G<inf>m</inf>) of 559 µS/µm at V<inf>DS</inf>=1 V and record-low drain-induced-barrier-lowering (DIBL) of 10 mV/V are achieved, to our best knowledge, among all the a-IGZO transistors with sub-100 nm channel length reported so far.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131245076","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Audio and Image Cross-Modal Intelligence via a 10TOPS/W 22nm SoC with Back-Propagation and Dynamic Power Gating 基于反向传播和动态功率门控的10TOPS/W 22nm SoC的音频和图像跨模态智能
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830226
Zichen Fan, Hyochan An, Qirui Zhang, Boxun Xu, Li Xu, Chien-Wei Tseng, Yimai Peng, Ang Cao, Bowen Liu, Changwook Lee, Zhehong Wang, Fanghao Liu, Guanru Wang, S. Jiang, Hun-Seok Kim, D. Blaauw, D. Sylvester
We present an ultra-low-power multimedia signal processor (MMSP) SoC that integrates a versatile deep neural network (DNN) engine with audio and image signal processing accelerators for cross-modal IoT intelligence. The proposed MMSP features 2MB MRAM to store all DNN weights on-chip with an energy-efficient dataflow using an MRAM-cache and dynamic power gating. The SoC achieves up to 3-10 TOPS/W peak energy efficiency and consumes only 0.25-3.84 mW. Being the first to demonstrate CNN, GAN, and back-propagation (BP) on a single accelerator SoC for cross-modal fusion, it outperforms state-of-the-art DNN processors by 1.4 - 4.5× in energy efficiency.
我们提出了一种超低功耗多媒体信号处理器(MMSP) SoC,该SoC集成了多功能深度神经网络(DNN)引擎和用于跨模态物联网智能的音频和图像信号处理加速器。所提出的MMSP具有2MB MRAM功能,可以在芯片上存储所有DNN权重,并使用MRAM缓存和动态功率门控实现节能数据流。SoC的峰值能效可达3-10 TOPS/W,功耗仅为0.25-3.84 mW。作为第一个在单个加速器SoC上演示CNN、GAN和反向传播(BP)的跨模态融合,它的能效比最先进的DNN处理器高出1.4 - 4.5倍。
{"title":"Audio and Image Cross-Modal Intelligence via a 10TOPS/W 22nm SoC with Back-Propagation and Dynamic Power Gating","authors":"Zichen Fan, Hyochan An, Qirui Zhang, Boxun Xu, Li Xu, Chien-Wei Tseng, Yimai Peng, Ang Cao, Bowen Liu, Changwook Lee, Zhehong Wang, Fanghao Liu, Guanru Wang, S. Jiang, Hun-Seok Kim, D. Blaauw, D. Sylvester","doi":"10.1109/vlsitechnologyandcir46769.2022.9830226","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830226","url":null,"abstract":"We present an ultra-low-power multimedia signal processor (MMSP) SoC that integrates a versatile deep neural network (DNN) engine with audio and image signal processing accelerators for cross-modal IoT intelligence. The proposed MMSP features 2MB MRAM to store all DNN weights on-chip with an energy-efficient dataflow using an MRAM-cache and dynamic power gating. The SoC achieves up to 3-10 TOPS/W peak energy efficiency and consumes only 0.25-3.84 mW. Being the first to demonstrate CNN, GAN, and back-propagation (BP) on a single accelerator SoC for cross-modal fusion, it outperforms state-of-the-art DNN processors by 1.4 - 4.5× in energy efficiency.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133666590","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A Sparse Convolution Neural Network Accelerator for 3D/4D Point-Cloud Image Recognition on Low Power Mobile Device with Hopping-Index Rule Book for Efficient Coordinate Management 基于跳跃索引规则手册的低功耗移动设备三维/四维点云图像识别稀疏卷积神经网络加速器
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830178
Qiankai Cao, Jie Gu
This work presents the first 3D/4D sparse CNN (SCNN) accelerator for point cloud image recognition on low power devices. A special hopping-index rule book method and efficient data search technique were developed to mitigate the overhead of coordinate management for SCNN. A 65nm test chip for 3D/4D images was demonstrated with 7.09–13.6 TOPS/W power efficiency and state-of-the-art frame rate.
这项工作提出了第一个用于低功耗设备上点云图像识别的3D/4D稀疏CNN (SCNN)加速器。提出了一种特殊的跳跃索引规则手册方法和高效的数据搜索技术,以减轻SCNN的坐标管理开销。演示了3D/4D图像的65nm测试芯片,功率效率为7.09-13.6 TOPS/W,帧率为最先进水平。
{"title":"A Sparse Convolution Neural Network Accelerator for 3D/4D Point-Cloud Image Recognition on Low Power Mobile Device with Hopping-Index Rule Book for Efficient Coordinate Management","authors":"Qiankai Cao, Jie Gu","doi":"10.1109/vlsitechnologyandcir46769.2022.9830178","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830178","url":null,"abstract":"This work presents the first 3D/4D sparse CNN (SCNN) accelerator for point cloud image recognition on low power devices. A special hopping-index rule book method and efficient data search technique were developed to mitigate the overhead of coordinate management for SCNN. A 65nm test chip for 3D/4D images was demonstrated with 7.09–13.6 TOPS/W power efficiency and state-of-the-art frame rate.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130058442","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Scalable 1.4 μW cryo-CMOS SP4T multiplexer operating at 10 mK for high-fidelity superconducting qubit measurements 可扩展1.4 μW cryo-CMOS SP4T多路复用器,工作在10 mK,用于高保真超导量子位测量
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830396
R. Acharya, A. Potočnik, S. Brebels, A. Grill, J. Verjauw, T. Ivanov, D. Lozano, D. Wan, F. Mohiyaddin, J. V. Damme, A. Vadiraj, M. Mongillo, G. Gielen, F. Catthoor, J. Craninckx, I. Radu, B. Govoreanu
In this work, we report on the electrical performance of an ultra-low-power cryo-CMOS single-pole-4-throw (SP4T) RF multiplexer working at 10 mK base temperature stage of a dilution refrigerator. We use the multiplexer to benchmark a superconducting qubit for the very first time and obtain qubit coherence times of over 35 μs along with an average single-qubit gate fidelity of 99.93%, which exceeds the threshold required for quantum error-correction based on surface-code. This work demonstrates the operability of superconducting qubits with ultra-low-power cryo-CMOS devices at the base temperature, paving the way for advanced co-integration schemes.
在这项工作中,我们报告了在稀释冰箱的10 mK基本温度阶段工作的超低功耗cro - cmos单极4掷(SP4T)射频多路复用器的电性能。我们首次使用多路复用器对超导量子比特进行基准测试,并获得了超过35 μs的量子比特相干时间以及99.93%的平均单量子比特门保真度,这超过了基于表面编码的量子纠错所需的阈值。这项工作证明了超导量子比特与超低功耗低温cmos器件在基础温度下的可操作性,为先进的协整方案铺平了道路。
{"title":"Scalable 1.4 μW cryo-CMOS SP4T multiplexer operating at 10 mK for high-fidelity superconducting qubit measurements","authors":"R. Acharya, A. Potočnik, S. Brebels, A. Grill, J. Verjauw, T. Ivanov, D. Lozano, D. Wan, F. Mohiyaddin, J. V. Damme, A. Vadiraj, M. Mongillo, G. Gielen, F. Catthoor, J. Craninckx, I. Radu, B. Govoreanu","doi":"10.1109/vlsitechnologyandcir46769.2022.9830396","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830396","url":null,"abstract":"In this work, we report on the electrical performance of an ultra-low-power cryo-CMOS single-pole-4-throw (SP4T) RF multiplexer working at 10 mK base temperature stage of a dilution refrigerator. We use the multiplexer to benchmark a superconducting qubit for the very first time and obtain qubit coherence times of over 35 μs along with an average single-qubit gate fidelity of 99.93%, which exceeds the threshold required for quantum error-correction based on surface-code. This work demonstrates the operability of superconducting qubits with ultra-low-power cryo-CMOS devices at the base temperature, paving the way for advanced co-integration schemes.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114330224","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Wireless Urine Detection System and Platform with Power-Efficient Electrochemical Readout ASIC and ABTS-CNT Biosensor 基于高效电化学读出ASIC和ABTS-CNT生物传感器的无线尿液检测系统与平台
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830325
Shuenn-Yuh Lee, Hao-Yun Lee, Ding-Siang Ciou, Zhan-Xian Liao, Peng-Wei Huang, Y. Hsieh, Yi-Chieh Wei, Chia-Yu Lin, Meng-Dar Shieh, Ju-Yi Chen
This work presents a wireless urine detection system and platform which consists of an electrochemical readout application specific integrated circuit (ASIC) and a biosensor composed of 2,2′-azino-bis(3-ethylbenzothiazoline-6-sulphonic acid) and carbon nanotube (ABTS-CNT) for the detection of urine albumin-to-creatinine ratio (UACR) on cardiovascular diseases healthcare system. The ASIC mainly includes a potentiostat with a current-sensing VCO-based continuous-time delta-sigma modulator (CTDSM) and a hybrid resistor-based digital-to-analog converter (R-DAC), which can be integrated with our developed dual-channel screen-printed carbon electrode (SPCE) for UACR detection. Experimental results have demonstrated the capability of the proposed urine detection system under practical urine tests.
本文提出了一种无线尿液检测系统和平台,该系统由电化学读出应用专用集成电路(ASIC)和2,2 ' -氮基-双(3-乙基苯并噻唑啉-6-磺酸)和碳纳米管(ABTS-CNT)组成的生物传感器组成,用于检测心血管疾病医疗保健系统中的尿白蛋白与肌酸酐比(UACR)。ASIC主要包括一个具有电流传感vco的连续时间δ - σ调制器(CTDSM)的恒电位器和一个基于混合电阻的数模转换器(R-DAC),该转换器可以与我们开发的双通道网印碳电极(SPCE)集成,用于UACR检测。实验结果证明了所提出的尿液检测系统在实际尿液检测中的能力。
{"title":"A Wireless Urine Detection System and Platform with Power-Efficient Electrochemical Readout ASIC and ABTS-CNT Biosensor","authors":"Shuenn-Yuh Lee, Hao-Yun Lee, Ding-Siang Ciou, Zhan-Xian Liao, Peng-Wei Huang, Y. Hsieh, Yi-Chieh Wei, Chia-Yu Lin, Meng-Dar Shieh, Ju-Yi Chen","doi":"10.1109/vlsitechnologyandcir46769.2022.9830325","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830325","url":null,"abstract":"This work presents a wireless urine detection system and platform which consists of an electrochemical readout application specific integrated circuit (ASIC) and a biosensor composed of 2,2′-azino-bis(3-ethylbenzothiazoline-6-sulphonic acid) and carbon nanotube (ABTS-CNT) for the detection of urine albumin-to-creatinine ratio (UACR) on cardiovascular diseases healthcare system. The ASIC mainly includes a potentiostat with a current-sensing VCO-based continuous-time delta-sigma modulator (CTDSM) and a hybrid resistor-based digital-to-analog converter (R-DAC), which can be integrated with our developed dual-channel screen-printed carbon electrode (SPCE) for UACR detection. Experimental results have demonstrated the capability of the proposed urine detection system under practical urine tests.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115041775","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1