首页 > 最新文献

2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)最新文献

英文 中文
Determination of Domain Wall Velocity and Nucleation Time by Switching Dynamics Studies of Ferroelectric Hafnium Zirconium Oxide 铁电氧化铪锆的开关动力学测定畴壁速度和成核时间
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830501
X. Lyu, P. Shrestha, M. Si, Panni Wang, Junkang Li, K. Cheung, Shimeng Yu, P. Ye
In this work, we present the first experimental determination of nucleation time and domain wall (DW) velocity by studying switching dynamics of ferroelectric (FE) hafnium zirconium oxide (HZO). Experimental data and simulation results were used to quantitatively study the switching dynamics. The switch speed is degraded in high aspect ratio devices due to the longer DW propagation time or with dielectric interfacial layer due to the required additional tunneling and trapping time by the leakage current assist switch mechanism.
在这项工作中,我们首次通过研究铁电(FE)铪氧化锆(HZO)的开关动力学,实验确定了成核时间和畴壁(DW)速度。利用实验数据和仿真结果对开关动力学进行了定量研究。在高宽高比器件中,由于DW传播时间较长,或者由于泄漏电流辅助开关机构需要额外的隧道和捕获时间,介质界面层导致开关速度降低。
{"title":"Determination of Domain Wall Velocity and Nucleation Time by Switching Dynamics Studies of Ferroelectric Hafnium Zirconium Oxide","authors":"X. Lyu, P. Shrestha, M. Si, Panni Wang, Junkang Li, K. Cheung, Shimeng Yu, P. Ye","doi":"10.1109/vlsitechnologyandcir46769.2022.9830501","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830501","url":null,"abstract":"In this work, we present the first experimental determination of nucleation time and domain wall (DW) velocity by studying switching dynamics of ferroelectric (FE) hafnium zirconium oxide (HZO). Experimental data and simulation results were used to quantitatively study the switching dynamics. The switch speed is degraded in high aspect ratio devices due to the longer DW propagation time or with dielectric interfacial layer due to the required additional tunneling and trapping time by the leakage current assist switch mechanism.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"117346325","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Multi-bit per-cell 1T SiGe Floating Body RAM for Cache Memory in Cryogenic Computing 低温计算中用于高速缓存的1T SiGe浮动体内存
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830483
W. Chakraborty, P. Shrestha, A. Gupta, R. Saligram, S. Spetalnick, J. Campbell, A. Raychowdhury, S. Datta
Cryogenic computing requires high-density on-die cache memory with low latency, high bandwidth and energy-efficient access to increase cache hit and maximize processor performance. Here, we experimentally demonstrate, high-speed multi-bit memory operation in 1T SiGe Floating-body RAM (FBRAM) using 22nm FDSOI transistor at 77K, for cryogenic cache memory application. The 1T SiGe FBRAM cell (W/LG=170nm/20nm) at 77K exhibits : (a) record write time of <5ns with write voltage (VWrite) 1.5V; (b) high sense current (IRead,1~75μA) with read margin (ΔIRead=IRead,1-IRead,0) ~14 μA; (c) 2-bit/cell operation; (d) pseudo-static retention (~8x103 s) for single-bit and worst case retention of 100 s for 2-bit per cell, and (e) high write endurance >1012. Array-level benchmarking shows that compared to 6T SRAM, 1T SiGe FBRAM shows 8.3x higher memory density with 2.3x/1.8x gain in read/write energy, 3.3x/1.7x in read/write latency and 4.6x in energy-delay product (EDP) for a cache size of 16MB at 77K. Considering the cooling energy cost, FBRAM exhibit 60% EDP reduction compared to 300K 6T SRAM. Hence, SiGe FBRAM is a promising option for L2/L3 cache in high-performance cryo-computing.
低温计算需要高密度的片上高速缓存,具有低延迟、高带宽和节能访问,以增加缓存命中并最大化处理器性能。在这里,我们实验证明了在1T SiGe浮动体RAM (FBRAM)中使用77K的22nm FDSOI晶体管进行高速多比特存储操作,用于低温缓存应用。在77K下,1T SiGe FBRAM电池(W/LG=170nm/20nm)显示:(a)记录的写入时间为1012。阵列级基准测试显示,与6T SRAM相比,1T SiGe FBRAM具有8.3倍的内存密度,读/写能量增加2.3倍/1.8倍,读/写延迟3.3倍/1.7倍,能量延迟产品(EDP) 4.6倍,缓存大小为16MB, 77K。考虑到冷却能源成本,与300K 6T SRAM相比,FBRAM的EDP降低了60%。因此,SiGe FBRAM是高性能低温计算中L2/L3缓存的一个有前途的选择。
{"title":"Multi-bit per-cell 1T SiGe Floating Body RAM for Cache Memory in Cryogenic Computing","authors":"W. Chakraborty, P. Shrestha, A. Gupta, R. Saligram, S. Spetalnick, J. Campbell, A. Raychowdhury, S. Datta","doi":"10.1109/vlsitechnologyandcir46769.2022.9830483","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830483","url":null,"abstract":"Cryogenic computing requires high-density on-die cache memory with low latency, high bandwidth and energy-efficient access to increase cache hit and maximize processor performance. Here, we experimentally demonstrate, high-speed multi-bit memory operation in 1T SiGe Floating-body RAM (FBRAM) using 22nm FDSOI transistor at 77K, for cryogenic cache memory application. The 1T SiGe FBRAM cell (W/LG=170nm/20nm) at 77K exhibits : (a) record write time of <5ns with write voltage (VWrite) 1.5V; (b) high sense current (IRead,1~75μA) with read margin (ΔIRead=IRead,1-IRead,0) ~14 μA; (c) 2-bit/cell operation; (d) pseudo-static retention (~8x103 s) for single-bit and worst case retention of 100 s for 2-bit per cell, and (e) high write endurance >1012. Array-level benchmarking shows that compared to 6T SRAM, 1T SiGe FBRAM shows 8.3x higher memory density with 2.3x/1.8x gain in read/write energy, 3.3x/1.7x in read/write latency and 4.6x in energy-delay product (EDP) for a cache size of 16MB at 77K. Considering the cooling energy cost, FBRAM exhibit 60% EDP reduction compared to 300K 6T SRAM. Hence, SiGe FBRAM is a promising option for L2/L3 cache in high-performance cryo-computing.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127271329","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
e-G2C: A 0.14-to-8.31 µJ/Inference NN-based Processor with Continuous On-chip Adaptation for Anomaly Detection and ECG Conversion from EGM e-G2C:一种0.14- 8.31µJ/Inference基于神经网络的连续片上自适应处理器,用于异常检测和ECG转换
Pub Date : 2022-06-12 DOI: 10.1109/VLSITechnologyandCir46769.2022.9830335
Yang Zhao, Yongan Zhang, Yonggan Fu, Xuefeng Ouyang, Cheng Wan, Shang Wu, Anton Banta, M. John, A. Post, M. Razavi, Joseph R. Cavallaro, B. Aazhang, Yingyan Lin
This work presents the first silicon-validated dedicated EGM-to-ECG (G2C) processor, dubbed e-G2C, featuring continuous lightweight anomaly detection, event-driven coarse/precise conversion, and on-chip adaptation. e-G2C utilizes neural network (NN) based G2C conversion and integrates 1) an architecture supporting anomaly detection and coarse/precise conversion via time multiplexing to balance the effectiveness and power, 2) an algorithm-hardware co-designed vector-wise sparsity resulting in a 1.6-1.7× speedup, 3) hybrid dataflows for enhancing near 100% utilization for normal/depth-wise(DW)/point-wise(PW) convolutions (Convs), and 4) an on-chip detection threshold adaptation engine for continuous effectiveness. The achieved 0.14-8.31 µJ/inference energy efficiency outperforms prior arts under similar complexity, promising real-time detection/conversion and possibly life-critical interventions.
这项工作提出了第一个经过硅验证的专用egm到ecg (G2C)处理器,称为e-G2C,具有连续轻量级异常检测,事件驱动的粗/精确转换和片上适应功能。e-G2C利用基于神经网络(NN)的G2C转换,并集成了1)支持异常检测和通过时间复用进行粗/精确转换的架构,以平衡效率和功率;2)算法-硬件协同设计的矢量稀疏性,从而实现1.6-1.7倍的加速;3)混合数据流,可将正常/深度/点卷积(Convs)的利用率提高近100%。4)片上检测阈值自适应引擎,实现持续有效性。所实现的0.14-8.31 μ J/推理能量效率在类似复杂性下优于现有技术,有望实现实时检测/转换,并可能实现生命关键干预。
{"title":"e-G2C: A 0.14-to-8.31 µJ/Inference NN-based Processor with Continuous On-chip Adaptation for Anomaly Detection and ECG Conversion from EGM","authors":"Yang Zhao, Yongan Zhang, Yonggan Fu, Xuefeng Ouyang, Cheng Wan, Shang Wu, Anton Banta, M. John, A. Post, M. Razavi, Joseph R. Cavallaro, B. Aazhang, Yingyan Lin","doi":"10.1109/VLSITechnologyandCir46769.2022.9830335","DOIUrl":"https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830335","url":null,"abstract":"This work presents the first silicon-validated dedicated EGM-to-ECG (G2C) processor, dubbed e-G2C, featuring continuous lightweight anomaly detection, event-driven coarse/precise conversion, and on-chip adaptation. e-G2C utilizes neural network (NN) based G2C conversion and integrates 1) an architecture supporting anomaly detection and coarse/precise conversion via time multiplexing to balance the effectiveness and power, 2) an algorithm-hardware co-designed vector-wise sparsity resulting in a 1.6-1.7× speedup, 3) hybrid dataflows for enhancing near 100% utilization for normal/depth-wise(DW)/point-wise(PW) convolutions (Convs), and 4) an on-chip detection threshold adaptation engine for continuous effectiveness. The achieved 0.14-8.31 µJ/inference energy efficiency outperforms prior arts under similar complexity, promising real-time detection/conversion and possibly life-critical interventions.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123213700","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Sub-10nm Ultra-thin ZnO Channel FET with Record-High 561 µA/µm ION at VDS 1V, High µ-84 cm2/V-s and1T-1RRAM Memory Cell Demonstration Memory Implications for Energy-Efficient Deep-Learning Computing 亚10nm超薄ZnO沟道场效应管,在VDS 1V下具有创纪录的561 μ A/ μ m离子,高μ -84 cm2/V-s和1t - 1rram存储单元,证明了节能深度学习计算的存储意义
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830250
U. Chand, M. Aly, Manohar Lal, Chen Chun-Kuei, S. Hooda, Shih-Hao Tsai, Zihang Fang, H. Veluri, A. Thean
For the first time, we investigated ultra-short-channel ZnO thin-film FETs with Lch = 8 nm with extremely scaled channel thickness tZnO of 3nm, the device exhibits ultra-low sub-pA/µm off leakage (1.2 pA/µm), high electron mobility (µeff = 84 cm2/V•s) with record peak transconductance (Gm,) of 254 μS/μm at VDS = 1 V wrt. reported oxide-based transistors, to date, leading to high on-state current (ION) of 561 μA/μm. We demonstrated the integration of a ZnO access transistor with Al2O3 RRAM to enable a 1T-1R memory cell, suitable for BEOL-embedded memory. We evaluate the system-level benefits of a hardware accelerator for deep learning to employ FET-RRAM as working memory—up to 10X energy-efficiency benefits can be achieved over current baseline configurations.
我们首次研究了Lch = 8 nm、极窄通道厚度tZnO为3nm的超短通道ZnO薄膜fet,该器件在VDS = 1 V wrt时具有超低的亚pA/µm漏失(1.2 pA/µm)、高电子迁移率(µeff = 84 cm2/V•s)和创纪录的254 μS/μm跨导峰(Gm)。迄今为止报道的基于氧化物的晶体管,其导通电流(ION)高达561 μA/μm。我们展示了ZnO接入晶体管与Al2O3 RRAM的集成,以实现适用于beol嵌入式存储器的1T-1R存储单元。我们评估了采用FET-RRAM作为工作存储器的深度学习硬件加速器的系统级优势-与目前的基线配置相比,可以实现高达10倍的能效优势。
{"title":"Sub-10nm Ultra-thin ZnO Channel FET with Record-High 561 µA/µm ION at VDS 1V, High µ-84 cm2/V-s and1T-1RRAM Memory Cell Demonstration Memory Implications for Energy-Efficient Deep-Learning Computing","authors":"U. Chand, M. Aly, Manohar Lal, Chen Chun-Kuei, S. Hooda, Shih-Hao Tsai, Zihang Fang, H. Veluri, A. Thean","doi":"10.1109/vlsitechnologyandcir46769.2022.9830250","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830250","url":null,"abstract":"For the first time, we investigated ultra-short-channel ZnO thin-film FETs with Lch = 8 nm with extremely scaled channel thickness tZnO of 3nm, the device exhibits ultra-low sub-pA/µm off leakage (1.2 pA/µm), high electron mobility (µeff = 84 cm2/V•s) with record peak transconductance (Gm,) of 254 μS/μm at VDS = 1 V wrt. reported oxide-based transistors, to date, leading to high on-state current (ION) of 561 μA/μm. We demonstrated the integration of a ZnO access transistor with Al2O3 RRAM to enable a 1T-1R memory cell, suitable for BEOL-embedded memory. We evaluate the system-level benefits of a hardware accelerator for deep learning to employ FET-RRAM as working memory—up to 10X energy-efficiency benefits can be achieved over current baseline configurations.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122511025","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A 14-nm Low Voltage SRAM with Charge-Recycling and Charge Self-Saving Techniques for Low-Power Applications 具有低功耗应用的电荷回收和电荷自保存技术的14nm低压SRAM
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830353
Keonhee Cho, Gi-Kryang Kim, J. Oh, Kiryong Kim, Changsu Sim, Younmee Bae, Mijung Kim, Sangyeop Baeck, T. Song, Seong-ook Jung
This paper presents charge-recycling and charge self-saving techniques in SRAM that lower VMIN while consuming minimal read and write energies. The proposed techniques (with flying CVSS) achieve 250mV (270mV) VMIN improvements in 64-Kb SRAM using 0.080μm2 LV SRAM cell on 14-nm FinFET technology.
本文提出了在降低VMIN的同时消耗最小读写能量的SRAM中的电荷回收和电荷自节约技术。该技术(带飞行CVSS)在14nm FinFET技术上使用0.080μm2 LV SRAM单元,在64kb SRAM上实现了250mV (270mV)的VMIN改进。
{"title":"A 14-nm Low Voltage SRAM with Charge-Recycling and Charge Self-Saving Techniques for Low-Power Applications","authors":"Keonhee Cho, Gi-Kryang Kim, J. Oh, Kiryong Kim, Changsu Sim, Younmee Bae, Mijung Kim, Sangyeop Baeck, T. Song, Seong-ook Jung","doi":"10.1109/vlsitechnologyandcir46769.2022.9830353","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830353","url":null,"abstract":"This paper presents charge-recycling and charge self-saving techniques in SRAM that lower V<inf>MIN</inf> while consuming minimal read and write energies. The proposed techniques (with flying CV<inf>SS</inf>) achieve 250mV (270mV) V<inf>MIN</inf> improvements in 64-Kb SRAM using 0.080μm<sup>2</sup> LV SRAM cell on 14-nm FinFET technology.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121871186","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Holistic Patterning to Advance Semiconductor Manufacturing in the 2020s and Beyond 整体模式推动半导体制造业在2020年代及以后的发展
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830360
M. V. D. Brink, A. Yen, P. Wijnen, M. Lercel, B. Sluijk
Semiconductors have enabled ever-increasing efficiency in compute and storage of information, as a result of decades of cost-effective scaling of device density and generations of new device technologies. We believe that continued advances in holistic patterning will enable cost-effective scaling of semiconductor devices to continue throughout the 2020s and beyond. We present here key developments across ASML’s holistic product portfolio: the extreme ultraviolet (EUV) lithography roadmap with its 0.33 numerical-aperture (NA) platform and the next-generation 0.55 NA (High-NA) platform, the deep ultraviolet (DUV) roadmap including cutting-edge immersion lithography and cost-efficient mature systems, and key innovations across our optical metrology, electron-beam metrology and inspection portfolio, and our computational lithographic technology. In high-volume manufacturing, the ultimate lithographic performance is only realized by the holistic combination of exposure systems, metrology and inspection tools, and computational-lithographic algorithms. This includes process window optimization during setup, accurate measurement of process capability, and active control to stay within the patterning process window.
由于数十年来设备密度的经济高效扩展和几代新设备技术的发展,半导体使计算和信息存储的效率不断提高。我们相信,整体模式的持续进步将使半导体器件的成本效益扩展在整个2020年代及以后继续下去。我们在此介绍ASML整体产品组合的关键发展:极紫外(EUV)光刻路线图,其0.33数值孔径(NA)平台和下一代0.55 NA(高NA)平台,深紫外(DUV)路线图,包括尖端的浸入式光刻和经济高效的成熟系统,以及我们光学计量,电子束计量和检测组合的关键创新,以及我们的计算光刻技术。在大批量生产中,最终的光刻性能只有通过曝光系统、计量和检测工具以及计算光刻算法的整体结合才能实现。这包括设置过程中的过程窗口优化,过程能力的精确测量,以及保持在模式过程窗口内的主动控制。
{"title":"Holistic Patterning to Advance Semiconductor Manufacturing in the 2020s and Beyond","authors":"M. V. D. Brink, A. Yen, P. Wijnen, M. Lercel, B. Sluijk","doi":"10.1109/vlsitechnologyandcir46769.2022.9830360","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830360","url":null,"abstract":"Semiconductors have enabled ever-increasing efficiency in compute and storage of information, as a result of decades of cost-effective scaling of device density and generations of new device technologies. We believe that continued advances in holistic patterning will enable cost-effective scaling of semiconductor devices to continue throughout the 2020s and beyond. We present here key developments across ASML’s holistic product portfolio: the extreme ultraviolet (EUV) lithography roadmap with its 0.33 numerical-aperture (NA) platform and the next-generation 0.55 NA (High-NA) platform, the deep ultraviolet (DUV) roadmap including cutting-edge immersion lithography and cost-efficient mature systems, and key innovations across our optical metrology, electron-beam metrology and inspection portfolio, and our computational lithographic technology. In high-volume manufacturing, the ultimate lithographic performance is only realized by the holistic combination of exposure systems, metrology and inspection tools, and computational-lithographic algorithms. This includes process window optimization during setup, accurate measurement of process capability, and active control to stay within the patterning process window.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124626937","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Non-linear CNN-based Read Channel for Hard Disk Drive with 30% Error Rate Reduction and Sequential 200Mbits/second Throughput in 28nm CMOS 基于非线性cnn的28纳米CMOS硬盘读通道误差率降低30%,连续吞吐量200Mbits/s
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830238
Yuwei Qin, Ruben Purdy, Alec Probst, Ching-Yi Lin, J. Zhu
In this work, we present the first ASIC implementation of CNN-based data detection channel for HDDs with 30.3% error rate reduction than the SOTA detection channel. Our chip demonstrates the first methodology of systematically embedding non-linear capacity into HDD read channel with the following features (1) a fully unrolled CNN with dedicated silicon for each convolution layer to produce fast sequential time series data detection at 200 Mbits/s, (2) in total 6 depthwise-separable convolution layers implemented with 2 types of systolic arrays and 100% PE utilization for continuous data flow and high pipelining, (3) integer-only convolutions for improved efficiency at 0.86nJ/bit and 3.99TOPS/W, and (4) pipelined (QReLU) to maintain low-precision feature maps and recover accuracy loss from model quantization.
在这项工作中,我们提出了第一个基于cnn的hdd数据检测通道的ASIC实现,与SOTA检测通道相比,错误率降低了30.3%。我们的芯片展示了第一种系统地将非线性容量嵌入HDD读取通道的方法,具有以下特点:(1)完全展开的CNN,每个卷积层都有专用硅,以200 Mbits/s的速度产生快速的顺序时间序列数据检测;(2)总共6个深度可分离的卷积层,采用2种收缩阵列,100% PE利用率,用于连续数据流和高流水线。(3)纯整数卷积,提高了0.86nJ/bit和3.99TOPS/W的效率;(4)流水线(QReLU),维持低精度的特征映射,恢复模型量化带来的精度损失。
{"title":"Non-linear CNN-based Read Channel for Hard Disk Drive with 30% Error Rate Reduction and Sequential 200Mbits/second Throughput in 28nm CMOS","authors":"Yuwei Qin, Ruben Purdy, Alec Probst, Ching-Yi Lin, J. Zhu","doi":"10.1109/vlsitechnologyandcir46769.2022.9830238","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830238","url":null,"abstract":"In this work, we present the first ASIC implementation of CNN-based data detection channel for HDDs with 30.3% error rate reduction than the SOTA detection channel. Our chip demonstrates the first methodology of systematically embedding non-linear capacity into HDD read channel with the following features (1) a fully unrolled CNN with dedicated silicon for each convolution layer to produce fast sequential time series data detection at 200 Mbits/s, (2) in total 6 depthwise-separable convolution layers implemented with 2 types of systolic arrays and 100% PE utilization for continuous data flow and high pipelining, (3) integer-only convolutions for improved efficiency at 0.86nJ/bit and 3.99TOPS/W, and (4) pipelined (QReLU) to maintain low-precision feature maps and recover accuracy loss from model quantization.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124731328","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
0.41-pJ/b/dB Asymmetric Simultaneous Bidirectional Transceivers With PAM-4 Forward and PAM-2 Back Channels for 5-m Automotive Camera Link 0.41 pj /b/dB非对称同时双向收发器,PAM-4前向和PAM-2后向通道,用于5米汽车摄像头链路
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830299
Yunhee Lee, Woonghee Lee, Minkyo Shim, Soyeong Shin, Woo-Seok Choi, D. Jeong
This paper presents asymmetric simultaneous bidirectional (SBD) transceivers for the next-generation automotive camera link. To realize the SBD operation with the PAM-4 signaling, the proposed wide linear range (WLR) hybrid excludes the voltage-dependent non-linear transconductance (gm) of active elements. A two-step hybrid strategy suppressing the PAM-4 forward channel (FC), including the FFE, is utilized for low power and design simplicity. A Σα hybrid removes only four primary DC levels, and 2nd order gm-capacitor (gmC) low-pass filter (LPF) filters out residual/echoes from the hybrid/channel. An echo canceller (EC) technique is also employed to further reduce the reflections of the PAM-2 back channel (BC). The highly asymmetric SBD transceivers with 12-Gb/s PAM-4 FC and 125-Mb/s PAM-2 BC achieve BER<10-12 over 5-m cable (15.9 dB loss). Prototype chips fabricated in 40-nm technology consume 78.4 mW, exhibiting an FoM of 0.41 pJ/b/dB.
介绍了用于下一代汽车摄像头链路的非对称同步双向(SBD)收发器。为了实现PAM-4信号的SBD操作,所提出的宽线性范围(WLR)混合电路排除了有源元件的电压相关非线性跨导(gm)。两步混合策略抑制PAM-4前向信道(FC),包括FFE,用于低功耗和设计简单。Σα混合电路只去除四个主直流电平,而二阶gm-电容器(gmC)低通滤波器(LPF)滤除混合电路/通道中的残留/回波。回波消除(EC)技术也被用于进一步减少PAM-2反向信道(BC)的反射。具有12gb /s PAM-4 FC和125mb /s PAM-2 BC的高度非对称SBD收发器在5m电缆(15.9 dB损耗)上实现BER<10-12。采用40纳米技术制造的原型芯片功耗为78.4 mW, FoM为0.41 pJ/b/dB。
{"title":"0.41-pJ/b/dB Asymmetric Simultaneous Bidirectional Transceivers With PAM-4 Forward and PAM-2 Back Channels for 5-m Automotive Camera Link","authors":"Yunhee Lee, Woonghee Lee, Minkyo Shim, Soyeong Shin, Woo-Seok Choi, D. Jeong","doi":"10.1109/vlsitechnologyandcir46769.2022.9830299","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830299","url":null,"abstract":"This paper presents asymmetric simultaneous bidirectional (SBD) transceivers for the next-generation automotive camera link. To realize the SBD operation with the PAM-4 signaling, the proposed wide linear range (WLR) hybrid excludes the voltage-dependent non-linear transconductance (gm) of active elements. A two-step hybrid strategy suppressing the PAM-4 forward channel (FC), including the FFE, is utilized for low power and design simplicity. A Σα hybrid removes only four primary DC levels, and 2nd order gm-capacitor (gmC) low-pass filter (LPF) filters out residual/echoes from the hybrid/channel. An echo canceller (EC) technique is also employed to further reduce the reflections of the PAM-2 back channel (BC). The highly asymmetric SBD transceivers with 12-Gb/s PAM-4 FC and 125-Mb/s PAM-2 BC achieve BER<10-12 over 5-m cable (15.9 dB loss). Prototype chips fabricated in 40-nm technology consume 78.4 mW, exhibiting an FoM of 0.41 pJ/b/dB.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130567912","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
A 12-bit 10GS/s 16-Channel Time-Interleaved ADC with a Digital Processing Timing-Skew Background Calibration in 5nm FinFET 一种12位10GS/s 16通道时间交错ADC,具有5nm FinFET中数字处理时偏背景校准
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830208
Kyoung-Jun Moon, Dong-Ryeol Oh, Younghyo Park, Kyung-Hoon Lee, Sun-Jae Park, Sungno Lee, H. Hwang, H. Shin, Young-Jae Cho, Michael Choi, Jongshin Shin
A 12b 10GS/s 16-channel time-interleaved (TI) ADC with cascaded input buffers, 625MS/s voltage-current (V-I) pipelined SAR ADCs and a digital processing timing-skew background calibration is proposed. A prototype 10GS/s TI ADC in 5nm FinFET achieves 48dB SNDR at the Nyquist input with 625mW power consumption, leading to a FoMWalden of 305fJ/c-s.
提出了一种12b 10GS/s 16通道时间交错(TI) ADC,具有级联输入缓冲器,625MS/s电压电流(V-I)流水SAR ADC和数字处理时斜背景校准。一个采用5nm FinFET的10GS/s TI ADC原型在Nyquist输入下实现48dB SNDR,功耗为625mW,输出功率为305fJ/c-s。
{"title":"A 12-bit 10GS/s 16-Channel Time-Interleaved ADC with a Digital Processing Timing-Skew Background Calibration in 5nm FinFET","authors":"Kyoung-Jun Moon, Dong-Ryeol Oh, Younghyo Park, Kyung-Hoon Lee, Sun-Jae Park, Sungno Lee, H. Hwang, H. Shin, Young-Jae Cho, Michael Choi, Jongshin Shin","doi":"10.1109/vlsitechnologyandcir46769.2022.9830208","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830208","url":null,"abstract":"A 12b 10GS/s 16-channel time-interleaved (TI) ADC with cascaded input buffers, 625MS/s voltage-current (V-I) pipelined SAR ADCs and a digital processing timing-skew background calibration is proposed. A prototype 10GS/s TI ADC in 5nm FinFET achieves 48dB SNDR at the Nyquist input with 625mW power consumption, leading to a FoMWalden of 305fJ/c-s.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114835187","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Memory Array Demonstration of fully integrated 1T-1C FeFET concept with separated ferroelectric MFM device in interconnect layer 存储器阵列展示了完全集成的1T-1C ffet概念,并在互连层中分离了铁电MFM器件
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830141
K. Seidel, D. Lehninger, R. Hoffmann, T. Ali, M. Lederer, Ricardo Revello, K. Mertens, K. Biedermann, Yukai Shen, Defu Wang, Matthias Landwehr, A. Heinig, T. Kämpfe, H. Mähne, K. Bernert, S. Thiem
In our work we describe and demonstrate an alternative approach of integrating 1T-1C FeFET having separated transistor (1T) without modifying frontend CMOS technology and an additional gate-coupled ferroelectric (FE) capacitor (1C) embedded in the interconnect layers. Starting from the results of FE capacitor integration and 1T-1C single cell characterization this paper describes realization and results of a fully integrated 8 kbit memory array implementation.
在我们的工作中,我们描述并展示了一种集成1T-1C ffet的替代方法,该方法具有分离的晶体管(1T),而无需修改前端CMOS技术和嵌入互连层中的附加门耦合铁电(FE)电容器(1C)。本文从FE电容器集成和1T-1C单电池表征的结果出发,描述了一个完全集成的8kbit存储器阵列的实现和结果。
{"title":"Memory Array Demonstration of fully integrated 1T-1C FeFET concept with separated ferroelectric MFM device in interconnect layer","authors":"K. Seidel, D. Lehninger, R. Hoffmann, T. Ali, M. Lederer, Ricardo Revello, K. Mertens, K. Biedermann, Yukai Shen, Defu Wang, Matthias Landwehr, A. Heinig, T. Kämpfe, H. Mähne, K. Bernert, S. Thiem","doi":"10.1109/vlsitechnologyandcir46769.2022.9830141","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830141","url":null,"abstract":"In our work we describe and demonstrate an alternative approach of integrating 1T-1C FeFET having separated transistor (1T) without modifying frontend CMOS technology and an additional gate-coupled ferroelectric (FE) capacitor (1C) embedded in the interconnect layers. Starting from the results of FE capacitor integration and 1T-1C single cell characterization this paper describes realization and results of a fully integrated 8 kbit memory array implementation.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124111651","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
期刊
2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1