首页 > 最新文献

2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)最新文献

英文 中文
PPAC of sheet-based CFET configurations for 4 track design with 16nm metal pitch PPAC基于薄片的cfeet配置,采用16nm金属间距的4轨设计
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830492
P. Schuddinck, F. M. Bufler, Y. Xiang, A. Farokhnejad, G. Mirabelli, A. Vandooren, B. Chehab, A. Gupta, C. Neve, G. Hellings, J. Ryckaert
We evaluate Power-Performance-Area & Cost (PPAC) for nanosheet (NS), forksheet (FS), monolithic & sequential Complementary FET (CFET) at 5 & 4 track (T) designs with tight gate pitch (CPP) & metal pitch (MP). While NS & FS prove unsuitable for 4T designs, CFETs provide a performant & cost-effective 4T solution.
我们评估了纳米片(NS),叉片(FS),单片和顺序互补FET (cet)在5和4磁道(T)设计下的功率-性能-面积和成本(PPAC),具有紧密的栅极间距(CPP)和金属间距(MP)。虽然NS和FS被证明不适合4T设计,但cfet提供了高性能且具有成本效益的4T解决方案。
{"title":"PPAC of sheet-based CFET configurations for 4 track design with 16nm metal pitch","authors":"P. Schuddinck, F. M. Bufler, Y. Xiang, A. Farokhnejad, G. Mirabelli, A. Vandooren, B. Chehab, A. Gupta, C. Neve, G. Hellings, J. Ryckaert","doi":"10.1109/vlsitechnologyandcir46769.2022.9830492","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830492","url":null,"abstract":"We evaluate Power-Performance-Area & Cost (PPAC) for nanosheet (NS), forksheet (FS), monolithic & sequential Complementary FET (CFET) at 5 & 4 track (T) designs with tight gate pitch (CPP) & metal pitch (MP). While NS & FS prove unsuitable for 4T designs, CFETs provide a performant & cost-effective 4T solution.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124684005","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
BEOL Compatible Ferroelectric Routers for Run-time Reconfigurable Compute-in-Memory Accelerators 用于运行时可重构内存中计算加速器的BEOL兼容铁电路由器
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830498
A. Khanna, H. Ye, Y. Luo, G. Bajpai, M. Jose, W. Chakraborty, Shimeng Yu, P. Fay, S. Datta
Compute-in-memory (CIM) accelerators based on run-time reconfigurable designs have shown great promise in speeding up deep neural network (DNN) inferencing. Here, we present back-end-of-the-line (BEOL) compatible Indium Tungsten Oxide channel ferroelectric transistors (IWO FeFET) as signal routing switches (RS) for monolithic 3D (M3D) CIM accelerator. We demonstrate 2.45 GHz measured cutoff frequency (fT) for the transistor and greater than 11.5 GHz intrinsic fT by de-embedding transistor extrinsic parasitics obtained through developed small-signal equivalent circuit model. Pass-transistor configuration measurements show less than 250ps of added delay and 15dB of isolation at 2.5GHz between programmed and erased states. Run-time reconfigurable operation of a 4-way routing switch is experimentally demonstrated with excellent selectivity and endurance greater than 1010 cycles. System level benchmarking of a M3D CIM accelerator employing IWO FeFET RS and weights performed on real-word DNN models shows 2.5x improvement in energy efficiency and >10% gain in area efficiency compared to 7nm SRAM design.
基于运行时可重构设计的内存计算(CIM)加速器在加速深度神经网络(DNN)推理方面显示出巨大的前景。在这里,我们提出了后端(BEOL)兼容的氧化铟钨沟道铁电晶体管(IWO FeFET)作为单片3D (M3D) CIM加速器的信号路由开关(RS)。通过建立的小信号等效电路模型,通过去嵌入晶体管的外部寄生得到晶体管的测量截止频率(fT)为2.45 GHz,本征频率(fT)大于11.5 GHz。通过晶体管配置测量显示,在2.5GHz编程和擦除状态之间,增加的延迟小于250ps,隔离小于15dB。实验证明了4路路由开关的运行时可重构操作具有优异的选择性和大于1010个周期的耐用性。采用IWO FeFET RS和权重的M3D CIM加速器在实际DNN模型上进行的系统级基准测试显示,与7nm SRAM设计相比,能效提高了2.5倍,面积效率提高了>10%。
{"title":"BEOL Compatible Ferroelectric Routers for Run-time Reconfigurable Compute-in-Memory Accelerators","authors":"A. Khanna, H. Ye, Y. Luo, G. Bajpai, M. Jose, W. Chakraborty, Shimeng Yu, P. Fay, S. Datta","doi":"10.1109/vlsitechnologyandcir46769.2022.9830498","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830498","url":null,"abstract":"Compute-in-memory (CIM) accelerators based on run-time reconfigurable designs have shown great promise in speeding up deep neural network (DNN) inferencing. Here, we present back-end-of-the-line (BEOL) compatible Indium Tungsten Oxide channel ferroelectric transistors (IWO FeFET) as signal routing switches (RS) for monolithic 3D (M3D) CIM accelerator. We demonstrate 2.45 GHz measured cutoff frequency (fT) for the transistor and greater than 11.5 GHz intrinsic fT by de-embedding transistor extrinsic parasitics obtained through developed small-signal equivalent circuit model. Pass-transistor configuration measurements show less than 250ps of added delay and 15dB of isolation at 2.5GHz between programmed and erased states. Run-time reconfigurable operation of a 4-way routing switch is experimentally demonstrated with excellent selectivity and endurance greater than 1010 cycles. System level benchmarking of a M3D CIM accelerator employing IWO FeFET RS and weights performed on real-word DNN models shows 2.5x improvement in energy efficiency and >10% gain in area efficiency compared to 7nm SRAM design.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124735902","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A Wafer Scale Hybrid Integration Platform for Co-packaged Photonics using a CMOS based Optical InterposerTM 基于CMOS的光介面器的晶圆级混合集成平台
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830432
S. Venkatesan, James Lee, S. Goh, B. Pile, Daniel Meerovich, J. Mo, Yang Jing, Lucas Soldano, Baochang Xu, Yu Zhang, A. Thean, Yeow Kheng Lim
In this paper, we present a unique hybrid integration platform for wafer scale passive assembly of electronics and photonics devices using a CMOS based Optical Interposer. Our optical interposer enables seamless communications between electronics and photonics chips that are assembled on it using visually assisted passive flip chip bonding techniques. This unique integration platform is the first such platform in the industry adapted to directly modulated lasers and enables the world’s smallest single chip Transmit/Receive Optical engine for 100G-400G optical engines.
在本文中,我们提出了一种独特的混合集成平台,用于基于CMOS的光学中间体的电子和光子器件的晶圆级无源组装。我们的光学中介器使用视觉辅助被动倒装芯片键合技术,实现了电子和光子芯片之间的无缝通信。这种独特的集成平台是业界首个适用于直接调制激光器的平台,可实现世界上最小的单芯片发射/接收光引擎,用于100G-400G光引擎。
{"title":"A Wafer Scale Hybrid Integration Platform for Co-packaged Photonics using a CMOS based Optical InterposerTM","authors":"S. Venkatesan, James Lee, S. Goh, B. Pile, Daniel Meerovich, J. Mo, Yang Jing, Lucas Soldano, Baochang Xu, Yu Zhang, A. Thean, Yeow Kheng Lim","doi":"10.1109/vlsitechnologyandcir46769.2022.9830432","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830432","url":null,"abstract":"In this paper, we present a unique hybrid integration platform for wafer scale passive assembly of electronics and photonics devices using a CMOS based Optical Interposer. Our optical interposer enables seamless communications between electronics and photonics chips that are assembled on it using visually assisted passive flip chip bonding techniques. This unique integration platform is the first such platform in the industry adapted to directly modulated lasers and enables the world’s smallest single chip Transmit/Receive Optical engine for 100G-400G optical engines.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124889461","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Wafer Level Pixelation of Colloidal Quantum Dot Image Sensors 胶体量子点图像传感器的晶圆级像素化
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830334
Yunlong Li, G. Karve, P. Malinowski, J. Kim, Epimitheas Georgitzikis, V. Pejović, M. Lim, L. M. Hagelsieb, R. Puybaret, I. Lieberman, Jiwon Lee, D. Cheyns, P. Heremans, H. Osman, D. Tezcan
Monolithic integration of colloidal quantum dot (CQD) thin-film on 200 mm CMOS wafers is demonstrated. Full pixelation of CQD thin-film photodiodes at wafer level is presented for the first time. We show a low-temperature process flow compatible with standard CMOS fab equipment. The self-aligned pixelation approach is an improvement over a conventional way of having a thin-film absorber layer on pixelated bottom electrodes, and it enables crosstalk reduction as well as multi-stack arrays.
研究了胶体量子点(CQD)薄膜在200毫米CMOS晶圆上的单片集成。首次在晶圆级上实现了CQD薄膜光电二极管的全像素化。我们展示了与标准CMOS晶圆厂设备兼容的低温工艺流程。自对准像素化方法是对在像素化底部电极上放置薄膜吸收层的传统方法的改进,它可以减少串扰并实现多堆栈阵列。
{"title":"Wafer Level Pixelation of Colloidal Quantum Dot Image Sensors","authors":"Yunlong Li, G. Karve, P. Malinowski, J. Kim, Epimitheas Georgitzikis, V. Pejović, M. Lim, L. M. Hagelsieb, R. Puybaret, I. Lieberman, Jiwon Lee, D. Cheyns, P. Heremans, H. Osman, D. Tezcan","doi":"10.1109/vlsitechnologyandcir46769.2022.9830334","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830334","url":null,"abstract":"Monolithic integration of colloidal quantum dot (CQD) thin-film on 200 mm CMOS wafers is demonstrated. Full pixelation of CQD thin-film photodiodes at wafer level is presented for the first time. We show a low-temperature process flow compatible with standard CMOS fab equipment. The self-aligned pixelation approach is an improvement over a conventional way of having a thin-film absorber layer on pixelated bottom electrodes, and it enables crosstalk reduction as well as multi-stack arrays.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123299568","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
First Demonstration of 1-bit Erase in Vertical NAND Flash Memory 垂直NAND闪存中1位擦除的首次演示
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830445
Honam Yoo, Jong-Won Back, Nam-Hun Kim, D. Kwon, Byung-Gook Park, Jong-Ho Lee
We propose for the first time a method for erasing one selected cell in Vertical NAND (VNAND) flash memory. By controlling the voltage applied to the terminals (switch devices and cells) of the VNAND string array, 1-bit erase (GIDL generation) of one selected cell and erase inhibition (GIDL suppression) of unselected cells are successfully verified. Compared to the existing method, the 1-bit erase method reduces the current fluctuation by 17 times at an IBL of 50 nA and reduces the Vth dispersion of >2 V to ~0.2 V or less.
我们首次提出了一种在垂直NAND (VNAND)闪存中擦除选定单元的方法。通过控制VNAND串阵列终端(开关器件和单元)的电压,成功验证了一个选定单元的1位擦除(GIDL生成)和未选定单元的擦除抑制(GIDL抑制)。与现有方法相比,1位擦除方法在50 nA的IBL下将电流波动降低了17倍,并将>2 V的Vth色散降低到~0.2 V或更低。
{"title":"First Demonstration of 1-bit Erase in Vertical NAND Flash Memory","authors":"Honam Yoo, Jong-Won Back, Nam-Hun Kim, D. Kwon, Byung-Gook Park, Jong-Ho Lee","doi":"10.1109/vlsitechnologyandcir46769.2022.9830445","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830445","url":null,"abstract":"We propose for the first time a method for erasing one selected cell in Vertical NAND (VNAND) flash memory. By controlling the voltage applied to the terminals (switch devices and cells) of the VNAND string array, 1-bit erase (GIDL generation) of one selected cell and erase inhibition (GIDL suppression) of unselected cells are successfully verified. Compared to the existing method, the 1-bit erase method reduces the current fluctuation by 17 times at an IBL of 50 nA and reduces the Vth dispersion of >2 V to ~0.2 V or less.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123610924","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
300 mm Wafer-scale In-situ CVD Growth Achieving 5.1×10-10 Ω-cm2 P-Type Contact Resistivity: Record 2.5×1021 cm-3 Active Doping and Demonstration on Highly-Scaled 3D Structures 300 mm晶圆级原位CVD生长实现5.1×10-10 Ω-cm2 p型接触电阻率:记录2.5×1021 cm-3活性掺杂和高尺度三维结构的演示
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830220
Haiwen Xu, R. Khazaka, Jishen Zhang, Zijie Zheng, Yue Chen, Xiao Gong
For the first time, we have developed a novel growth technique of Si1-xGex having active boron (B) doping concentration (NA) higher than 2×1021 cm-3. We achieve (1) uniform B doping and Ge composition in the epi-growth direction, (2) excellent uniformities in Si1-xGex thickness and resistivity across the entire 300 mm wafer, (3) an ultra-low as-deposited specific contact resistivity (ρc) of 5.1×10-10 Ω-cm2 on the sample with the highest NA of 2.5×1021 cm-3, and (4) successful selective growth on the advanced 3D structures with excellent conformality and thickness controllability.
我们首次开发了一种活性硼(B)掺杂浓度(NA)高于2×1021 cm-3的Si1-xGex生长新技术。我们在外延生长方向上实现了(1)均匀的B掺杂和Ge组成,(2)在整个300 mm晶圆上Si1-xGex厚度和电阻率具有优异的均匀性,(3)在最高NA为2.5×1021 cm-3的样品上获得了超低的沉积比接触电阻率(ρc) 5.1×10-10 Ω-cm2,(4)在具有优异的共形性和厚度可控性的先进3D结构上成功选择生长。
{"title":"300 mm Wafer-scale In-situ CVD Growth Achieving 5.1×10-10 Ω-cm2 P-Type Contact Resistivity: Record 2.5×1021 cm-3 Active Doping and Demonstration on Highly-Scaled 3D Structures","authors":"Haiwen Xu, R. Khazaka, Jishen Zhang, Zijie Zheng, Yue Chen, Xiao Gong","doi":"10.1109/vlsitechnologyandcir46769.2022.9830220","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830220","url":null,"abstract":"For the first time, we have developed a novel growth technique of Si<inf>1-x</inf>Ge<inf>x</inf> having active boron (B) doping concentration (N<inf>A</inf>) higher than 2×10<sup>21</sup> cm<sup>-3</sup>. We achieve (1) uniform B doping and Ge composition in the epi-growth direction, (2) excellent uniformities in Si<inf>1-x</inf>Ge<inf>x</inf> thickness and resistivity across the entire 300 mm wafer, (3) an ultra-low as-deposited specific contact resistivity (ρ<inf>c</inf>) of 5.1×10<sup>-10</sup> Ω-cm<sup>2</sup> on the sample with the highest N<inf>A</inf> of 2.5×10<sup>21</sup> cm<sup>-3</sup>, and (4) successful selective growth on the advanced 3D structures with excellent conformality and thickness controllability.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122644789","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A Relative-Prime Rotation Based Fully On-Chip Background Skew Calibration for Time-Interleaved ADCs 基于相对素数旋转的时间交错adc全片上背景偏斜校准
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830416
Dong-Jin Chang, S. Ryu
An on-chip background skew calibration technique for time-interleaved (TI) ADCs with relative-prime rotation (RPR) based autocorrelation computation is presented, with which more flexible choice of number of channels and no residual skew accumulation are realized. An 8 × TI 10b 1.4GS/s prototype ADC with fully on-chip calibration achieves an SNDR of 48.2dB at over Nyquist input and a FoM of 33 fJ/c-s in 28-nm FDSOI. The on-chip calibration circuitry takes only 24% of the ADC-core power consumption.
提出了一种基于相对素旋转(RPR)自相关计算的时间交错(TI) adc的片上背景偏度校准技术,该技术可以更灵活地选择通道数,且不存在残余偏度积累。采用全片上校准的8 × TI 10b 1.4GS/s原型ADC在Nyquist输入下的SNDR为48.2dB,在28纳米FDSOI中FoM为33 fJ/c-s。片上校准电路仅占adc核心功耗的24%。
{"title":"A Relative-Prime Rotation Based Fully On-Chip Background Skew Calibration for Time-Interleaved ADCs","authors":"Dong-Jin Chang, S. Ryu","doi":"10.1109/vlsitechnologyandcir46769.2022.9830416","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830416","url":null,"abstract":"An on-chip background skew calibration technique for time-interleaved (TI) ADCs with relative-prime rotation (RPR) based autocorrelation computation is presented, with which more flexible choice of number of channels and no residual skew accumulation are realized. An 8 × TI 10b 1.4GS/s prototype ADC with fully on-chip calibration achieves an SNDR of 48.2dB at over Nyquist input and a FoM of 33 fJ/c-s in 28-nm FDSOI. The on-chip calibration circuitry takes only 24% of the ADC-core power consumption.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124225151","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Demonstration of 3D sequential FD-SOI on CMOS FinFET stacking featuring low temperature Si layer transfer and top tier device fabrication with tier interconnections 3D顺序FD-SOI在CMOS FinFET堆叠上的演示,具有低温Si层转移和层互连的顶层器件制造
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830400
A. Vandooren, N. Parihar, J. Franco, R. Loo, H. Arimura, R. Rodriguez, F. Sebaai, S. Iacovo, K. Vandersmissen, W. Li, G. Mannaert, D. Radisic, E. Rosseel, A. Hikavyy, A. Jourdain, O. Mourey, G. Gaudin, S. Reboh, L. Van-Jodin, G. Besnard, C. Neve, Bich-Yen Nguyen, I. Radu, E. Litta, N. Horiguchi
3D sequential stacking is demonstrated using top tier FDSOI devices on bottom tier bulk finFETs. 3D integration and top-bottom layer interconnectivity is validated through functional 3D via chains, 3D CMOS single inverters and inverter chain with transistors built in the top and bottom layers. Three different Si layer transfer flows, including a low temperature Smart Cut™, are investigated and compared electrically for top tier planar devices. Transfer of bi-axial tensile strained silicon is demonstrated with a 60-80% performance boost of the top tier nMOS device over the unstrained silicon devices. Further process optimization of the low temperature Smart Cut™ transfer provided significant electron and hole mobility recovery of the top tier devices. Impact of the stacking on bottom tier finFET devices is also studied for various bottom gate stacks.
利用顶层FDSOI器件在底层体finfet上演示了3D顺序堆叠。通过功能性3D通孔链、3D CMOS单逆变器和顶部和底部内置晶体管的逆变器链,验证了3D集成和自上而下互连。研究了三种不同的硅层传输流,包括低温Smart Cut™,并对顶层平面器件进行了电性比较。双轴拉伸应变硅的转移证明了与非应变硅器件相比,顶层nMOS器件的性能提高了60-80%。对低温Smart Cut™转移的进一步工艺优化为顶层器件提供了显著的电子和空穴迁移率恢复。本文还研究了不同底栅堆叠对底层finFET器件的影响。
{"title":"Demonstration of 3D sequential FD-SOI on CMOS FinFET stacking featuring low temperature Si layer transfer and top tier device fabrication with tier interconnections","authors":"A. Vandooren, N. Parihar, J. Franco, R. Loo, H. Arimura, R. Rodriguez, F. Sebaai, S. Iacovo, K. Vandersmissen, W. Li, G. Mannaert, D. Radisic, E. Rosseel, A. Hikavyy, A. Jourdain, O. Mourey, G. Gaudin, S. Reboh, L. Van-Jodin, G. Besnard, C. Neve, Bich-Yen Nguyen, I. Radu, E. Litta, N. Horiguchi","doi":"10.1109/vlsitechnologyandcir46769.2022.9830400","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830400","url":null,"abstract":"3D sequential stacking is demonstrated using top tier FDSOI devices on bottom tier bulk finFETs. 3D integration and top-bottom layer interconnectivity is validated through functional 3D via chains, 3D CMOS single inverters and inverter chain with transistors built in the top and bottom layers. Three different Si layer transfer flows, including a low temperature Smart Cut™, are investigated and compared electrically for top tier planar devices. Transfer of bi-axial tensile strained silicon is demonstrated with a 60-80% performance boost of the top tier nMOS device over the unstrained silicon devices. Further process optimization of the low temperature Smart Cut™ transfer provided significant electron and hole mobility recovery of the top tier devices. Impact of the stacking on bottom tier finFET devices is also studied for various bottom gate stacks.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125771863","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A Packaged 90-to-96GHz 16-Element Phased Array with 18.8/15.8dBm Psat/OP1dB, 14.8% TX PAE in 65nm CMOS Process and +51dBm Array EIRP 封装的90至96ghz 16元相控阵,Psat/OP1dB为18.8/15.8dBm, 65nm CMOS工艺的TX PAE为14.8%,阵列EIRP为+51dBm
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830350
Wei Zhu, Jian Zhang, Jiawen Wang, Ruitao Wang, Chenguang Li, Kaiyang Wang, Yan Wang
This work presents a packaged 90-to-96GHz 16-Element transceiver phased array. It is constructed using 4-channel silicon beamformers in 65nm CMOS process, external power amplifiers (PAs) and low noise amplifiers in 100nm GaN process as well as Vivaldi antennas on a tsm-ds3 based printed circuit board (PCB). A transformer-and coupled-line-based 8-to-1 power combine technique is proposed in the silicon beamformer to achieve a measured Psat of +18.8dBm with an OP1dB of +15.8dBm and a peak PAE of 14.8% in CMOS. With external GaN PAs, the 16-Element transceiver phased array demonstrates a measured 26° 3-dB beamwidth, +51dBm peak EIRP at Psat and the ability to scan to ±30° in all planes.
本文提出了一种封装的90- 96ghz 16元收发器相控阵。它采用65nm CMOS工艺的4通道硅波束形成器,100nm GaN工艺的外部功率放大器(PAs)和低噪声放大器以及基于tsm-ds3的印刷电路板(PCB)上的Vivaldi天线构建。提出了一种基于变压器和耦合器线的8对1功率组合技术,在CMOS中实现了+18.8dBm的实测Psat, +15.8dBm的OP1dB和14.8%的峰值PAE。采用外部GaN PAs, 16元收发器相控阵的测量波束宽度为26°3db, Psat峰值EIRP为+51dBm,并且能够在所有平面扫描到±30°。
{"title":"A Packaged 90-to-96GHz 16-Element Phased Array with 18.8/15.8dBm Psat/OP1dB, 14.8% TX PAE in 65nm CMOS Process and +51dBm Array EIRP","authors":"Wei Zhu, Jian Zhang, Jiawen Wang, Ruitao Wang, Chenguang Li, Kaiyang Wang, Yan Wang","doi":"10.1109/vlsitechnologyandcir46769.2022.9830350","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830350","url":null,"abstract":"This work presents a packaged 90-to-96GHz 16-Element transceiver phased array. It is constructed using 4-channel silicon beamformers in 65nm CMOS process, external power amplifiers (PAs) and low noise amplifiers in 100nm GaN process as well as Vivaldi antennas on a tsm-ds3 based printed circuit board (PCB). A transformer-and coupled-line-based 8-to-1 power combine technique is proposed in the silicon beamformer to achieve a measured Psat of +18.8dBm with an OP1dB of +15.8dBm and a peak PAE of 14.8% in CMOS. With external GaN PAs, the 16-Element transceiver phased array demonstrates a measured 26° 3-dB beamwidth, +51dBm peak EIRP at Psat and the ability to scan to ±30° in all planes.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125925635","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Selective operations of multi-pillar SOT-MRAM for high density and low power embedded memories 用于高密度低功耗嵌入式存储器的多柱SOT-MRAM的选择性操作
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830307
K. Cai, S. V. Beek, S. Rao, K. Fan, M. Gupta, V. Nguyen, G. Jayakumar, G. Talmelli, S. Couet, G. Kar
We demonstrate a multi-pillar (MP) spin-orbit torque (SOT)-MRAM concept, which enables lower write current and high-density integration. We experimentally demonstrate the selective write operation of multi-bits in CMOS-compatible 300mm integrated top-pinned perpendicular MTJs. Multiple MTJs on a shared SOT track can be individually selected by gate voltages and independently switched by sub-ns pulses with 30% reduction in operation current. Our concept of selective operations with less transistors and lower writing energy will significantly enhance the density and energy efficiency of SOT-MRAM.
我们展示了一个多柱(MP)自旋轨道扭矩(SOT)-MRAM概念,它可以实现更低的写入电流和高密度集成。我们实验证明了在cmos兼容的300mm集成顶钉垂直MTJs中多比特的选择性写入操作。共享SOT轨道上的多个MTJs可以通过栅极电压单独选择,并通过亚ns脉冲独立切换,工作电流降低30%。采用更少晶体管和更低写入能量的选择性运算概念将显著提高SOT-MRAM的密度和能量效率。
{"title":"Selective operations of multi-pillar SOT-MRAM for high density and low power embedded memories","authors":"K. Cai, S. V. Beek, S. Rao, K. Fan, M. Gupta, V. Nguyen, G. Jayakumar, G. Talmelli, S. Couet, G. Kar","doi":"10.1109/vlsitechnologyandcir46769.2022.9830307","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830307","url":null,"abstract":"We demonstrate a multi-pillar (MP) spin-orbit torque (SOT)-MRAM concept, which enables lower write current and high-density integration. We experimentally demonstrate the selective write operation of multi-bits in CMOS-compatible 300mm integrated top-pinned perpendicular MTJs. Multiple MTJs on a shared SOT track can be individually selected by gate voltages and independently switched by sub-ns pulses with 30% reduction in operation current. Our concept of selective operations with less transistors and lower writing energy will significantly enhance the density and energy efficiency of SOT-MRAM.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115847773","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
期刊
2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1