首页 > 最新文献

2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)最新文献

英文 中文
Experimental Demonstration of An Inversion-Type Ferroelectric Capacitive Memory and its 1 kbit Crossbar Array Featuring High CHCS/CLCS, Fast Speed, and Long Retention 一种高CHCS/CLCS、速度快、保持时间长的反转型铁电电容存储器及其1kbit交叉棒阵列的实验演示
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830291
Zuopu Zhou, Jiao Leming, Jiuren Zhou, Zijie Zheng, Yue Chen, Kaizhen Han, Yuye Kang, Xiao-Qing Gong
By introducing a heavily doped region in the metal-ferroelectric-semiconductor (MFS) structure, for the first time, we report an inversion-type ferroelectric capacitive memory (FCM) device which simultaneously achieves (1) high (×125) CHCS/CLCS ratio, (2) 10-year retention under 85 ℃, (3) multi-state operation, and (4) improved write speed in nanosecond range. Integrating the devices on SOI substrates, we also realize the world’s first 1 kbit inversion-type FCM crossbar array and demonstrate successful read/write operation with a specially-designed array drive and test system.
通过在金属-铁电半导体(MFS)结构中引入重掺杂区域,我们首次报道了一种反转型铁电电容存储器(FCM)器件,该器件同时实现了(1)高(×125) CHCS/CLCS比,(2)在85℃下保持10年,(3)多态操作,(4)在纳秒范围内提高了写入速度。将器件集成到SOI基板上,我们还实现了世界上第一个1 kbit反转型FCM交叉棒阵列,并通过专门设计的阵列驱动和测试系统演示了成功的读/写操作。
{"title":"Experimental Demonstration of An Inversion-Type Ferroelectric Capacitive Memory and its 1 kbit Crossbar Array Featuring High CHCS/CLCS, Fast Speed, and Long Retention","authors":"Zuopu Zhou, Jiao Leming, Jiuren Zhou, Zijie Zheng, Yue Chen, Kaizhen Han, Yuye Kang, Xiao-Qing Gong","doi":"10.1109/vlsitechnologyandcir46769.2022.9830291","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830291","url":null,"abstract":"By introducing a heavily doped region in the metal-ferroelectric-semiconductor (MFS) structure, for the first time, we report an inversion-type ferroelectric capacitive memory (FCM) device which simultaneously achieves (1) high (×125) CHCS/CLCS ratio, (2) 10-year retention under 85 ℃, (3) multi-state operation, and (4) improved write speed in nanosecond range. Integrating the devices on SOI substrates, we also realize the world’s first 1 kbit inversion-type FCM crossbar array and demonstrate successful read/write operation with a specially-designed array drive and test system.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133962288","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
A Magnetically Coupled Dual-Core 154-GHz Class-F Oscillator with -177.1 FoM and -87 dBc/Hz PN at 1-MHz Offset in a 22-nm FDSOI with Third-Harmonic Extraction 一种磁耦合双核154-GHz f类振荡器,在1 mhz偏置下具有-177.1 FoM和-87 dBc/Hz PN,采用22nm FDSOI进行三次谐波提取
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830187
Sarthak Sharma, Hao Gao, G. Hueber, A. Mazzanti
This paper presents a 142-154 GHz third-harmonic extracted Class-F oscillator featuring an FoM of -177.1 at 1-MHz offset. In this work, a magnetically coupled dual-core topology is applied to enhance the third harmonic for Class-F operation, which also effectively boosts the negative conductance of the oscillator. The boosted negative conductance relaxes the startup condition in the Colpitts oscillator and improves its phase noise. This oscillator is fabricated in a 22-nm CMOS FDSOI. At 154.5 GHz, the measured PN is -87.4 dBc/Hz at 1-MHz offset, and -101.8 dBc/Hz at 10 MHz offset.
本文提出了一种142-154 GHz三次谐波提取f类振荡器,在1 mhz偏移时的FoM为-177.1。在这项工作中,采用磁耦合双核拓扑来增强f类操作的三次谐波,这也有效地增强了振荡器的负电导。增强的负电导放宽了科尔皮茨振荡器的启动条件,改善了其相位噪声。该振荡器是在22nm CMOS FDSOI中制造的。在154.5 GHz时,测量到的PN在1mhz偏移时为-87.4 dBc/Hz,在10mhz偏移时为-101.8 dBc/Hz。
{"title":"A Magnetically Coupled Dual-Core 154-GHz Class-F Oscillator with -177.1 FoM and -87 dBc/Hz PN at 1-MHz Offset in a 22-nm FDSOI with Third-Harmonic Extraction","authors":"Sarthak Sharma, Hao Gao, G. Hueber, A. Mazzanti","doi":"10.1109/vlsitechnologyandcir46769.2022.9830187","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830187","url":null,"abstract":"This paper presents a 142-154 GHz third-harmonic extracted Class-F oscillator featuring an FoM of -177.1 at 1-MHz offset. In this work, a magnetically coupled dual-core topology is applied to enhance the third harmonic for Class-F operation, which also effectively boosts the negative conductance of the oscillator. The boosted negative conductance relaxes the startup condition in the Colpitts oscillator and improves its phase noise. This oscillator is fabricated in a 22-nm CMOS FDSOI. At 154.5 GHz, the measured PN is -87.4 dBc/Hz at 1-MHz offset, and -101.8 dBc/Hz at 10 MHz offset.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128760812","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
SoIC_H Technology for Heterogenous System Integration 异构系统集成的SoIC_H技术
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830182
Chuei-Tang Wang, Chia-Chia Lin, Chih-Hsin Lu, Wei-Ting Chen, C. Tsai, Douglas C. H. Yu
An SoIC_H technology for 2.5D heterogeneous system integration is proposed. SoIC bond, replacing µbump, is used to provide low parasitic and high density of interconnects. Through system technology co-optimization (STCO), the proposed architecture provides over 60% power reduction for die-to-die I/O link and 81%, 14%, and 94% reductions in energy, latency, and area, respectively, for on-chip fanout design. For memory cubes, it introduces 61% latency and 49% energy reductions for 4-Hi SRAM cache and 30% bandwidth and 28% energy efficiency improvements for 12-Hi HBM.
提出了一种用于2.5D异构系统集成的SoIC_H技术。SoIC键,取代µbump,用于提供低寄生和高密度的互连。通过系统技术协同优化(system technology co-optimization, STCO),所提出的架构为芯片对芯片I/O链路提供了60%以上的功耗降低,为片上风扇输出设计提供了81%、14%和94%的能量、延迟和面积降低。对于内存立方体,它为4-Hi SRAM缓存引入了61%的延迟和49%的能量降低,为12-Hi HBM引入了30%的带宽和28%的能量效率提高。
{"title":"SoIC_H Technology for Heterogenous System Integration","authors":"Chuei-Tang Wang, Chia-Chia Lin, Chih-Hsin Lu, Wei-Ting Chen, C. Tsai, Douglas C. H. Yu","doi":"10.1109/vlsitechnologyandcir46769.2022.9830182","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830182","url":null,"abstract":"An SoIC_H technology for 2.5D heterogeneous system integration is proposed. SoIC bond, replacing µbump, is used to provide low parasitic and high density of interconnects. Through system technology co-optimization (STCO), the proposed architecture provides over 60% power reduction for die-to-die I/O link and 81%, 14%, and 94% reductions in energy, latency, and area, respectively, for on-chip fanout design. For memory cubes, it introduces 61% latency and 49% energy reductions for 4-Hi SRAM cache and 30% bandwidth and 28% energy efficiency improvements for 12-Hi HBM.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129049176","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
1200x84-pixels 30fps 64cc Solid-State LiDAR RX with an HV/LV transistors Hybrid Active-Quenching-SPAD Array and Background Digital PT Compensation 1200x84像素30fps 64cc固态激光雷达RX与高压/低压晶体管混合有源淬火- spad阵列和背景数字PT补偿
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830414
Toshiki Sugimoto, T. Ta, K. Kokubun, Satoshi Kondo, T. Itakura, Hisaaki Katagiri, Yutaka Ota, M. Sengoku, H. Kwon, K. Sasaki, H. Kubota, Kazuhiro Suzuki, K. Kimura, A. Sai
This paper presents two essential techniques, Active-Quenching (AQ) -SPAD consisting of hybrid HV/LV transistors and Digital SPAD Characteristic Compensation (DSCC) circuit to realize high-performance and palm-size LiDAR. The hybrid AQ circuit shrinks a pixel area while ensures a high PDE. The high pixel density 2D-SPAD array realizes high image-resolution palm-size LiDAR by reducing light-receiving lens and RX unit size. The DSCC provides an on-chip Process/Temperature (PT) calibration without external components, which contributes to weatherability assurance and LiDAR miniaturization. These technologies downsize LiDAR RX to the world’s smallest size, 64cc, and realize a total 350cc-size LiDAR with the competitive performance as a mechanical one.
本文提出了两种实现高性能手掌大小激光雷达的关键技术,即由高压/低压混合晶体管组成的有源猝灭(AQ) -SPAD和数字SPAD特性补偿(DSCC)电路。混合AQ电路缩小了像素面积,同时确保了高PDE。高像素密度2D-SPAD阵列通过减小接收光透镜和RX单元尺寸,实现了手掌大小的高图像分辨率激光雷达。DSCC提供片上工艺/温度(PT)校准,无需外部组件,这有助于保证耐候性和激光雷达小型化。这些技术将激光雷达RX的尺寸缩小到世界上最小的64cc,实现了350cc大小的激光雷达,其性能与机械雷达一样具有竞争力。
{"title":"1200x84-pixels 30fps 64cc Solid-State LiDAR RX with an HV/LV transistors Hybrid Active-Quenching-SPAD Array and Background Digital PT Compensation","authors":"Toshiki Sugimoto, T. Ta, K. Kokubun, Satoshi Kondo, T. Itakura, Hisaaki Katagiri, Yutaka Ota, M. Sengoku, H. Kwon, K. Sasaki, H. Kubota, Kazuhiro Suzuki, K. Kimura, A. Sai","doi":"10.1109/vlsitechnologyandcir46769.2022.9830414","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830414","url":null,"abstract":"This paper presents two essential techniques, Active-Quenching (AQ) -SPAD consisting of hybrid HV/LV transistors and Digital SPAD Characteristic Compensation (DSCC) circuit to realize high-performance and palm-size LiDAR. The hybrid AQ circuit shrinks a pixel area while ensures a high PDE. The high pixel density 2D-SPAD array realizes high image-resolution palm-size LiDAR by reducing light-receiving lens and RX unit size. The DSCC provides an on-chip Process/Temperature (PT) calibration without external components, which contributes to weatherability assurance and LiDAR miniaturization. These technologies downsize LiDAR RX to the world’s smallest size, 64cc, and realize a total 350cc-size LiDAR with the competitive performance as a mechanical one.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129128125","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 72GS/s, 8-bit DAC-based Wireline Transmitter in 4nm FinFET CMOS for 200+Gb/s Serial Links 72GS/s, 8位基于dac的有线发射机,4nm FinFET CMOS,用于200+Gb/s串行链路
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830421
T. Dickson, Z. Deniz, M. Cochet, M. Kossel, T. Morf, Young-Ho Choi, P. Francese, M. Brändli, T. Beukema, C. Baks, J. Proesel, J. Bulzacchelli, Michael P. Beakes, Byoung-Joo Yoo, Hyoungbae Ahn, Dong-Hyuk Lim, Gunil Kang, Sang-Hune Park, M. Meghelli, Hyo-Gyuem Rhew, D. Friedman, Michael Choi, M. Soyuer, Jongshin Shin
A DAC-based SST transmitter for wireline applications is reported in a 4nm FinFET technology. 8b resolution and high analog output bandwidth (BW) are achieved by employing a segmented architecture along with a single-ended LSB. Hybrid analog/digital tuning is used in the DAC LSB segments, resulting in well-matched MSB/LSB segments with -0.63/0.67 LSB INL and -0.16/0.43 LSB DNL. 216Gb/s PAM8 and 212Gb/s QAM64 OFDM operation are demonstrated at 288mW from a 0.95V supply.
报道了一种用于有线应用的基于dac的SST发射机,采用4nm FinFET技术。通过采用分段架构和单端LSB实现8b分辨率和高模拟输出带宽(BW)。在DAC LSB段中使用混合模拟/数字调谐,从而产生具有-0.63/0.67 LSB INL和-0.16/0.43 LSB DNL的良好匹配的MSB/LSB段。216Gb/s PAM8和212Gb/s QAM64 OFDM在0.95V电源下在288mW下运行。
{"title":"A 72GS/s, 8-bit DAC-based Wireline Transmitter in 4nm FinFET CMOS for 200+Gb/s Serial Links","authors":"T. Dickson, Z. Deniz, M. Cochet, M. Kossel, T. Morf, Young-Ho Choi, P. Francese, M. Brändli, T. Beukema, C. Baks, J. Proesel, J. Bulzacchelli, Michael P. Beakes, Byoung-Joo Yoo, Hyoungbae Ahn, Dong-Hyuk Lim, Gunil Kang, Sang-Hune Park, M. Meghelli, Hyo-Gyuem Rhew, D. Friedman, Michael Choi, M. Soyuer, Jongshin Shin","doi":"10.1109/vlsitechnologyandcir46769.2022.9830421","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830421","url":null,"abstract":"A DAC-based SST transmitter for wireline applications is reported in a 4nm FinFET technology. 8b resolution and high analog output bandwidth (BW) are achieved by employing a segmented architecture along with a single-ended LSB. Hybrid analog/digital tuning is used in the DAC LSB segments, resulting in well-matched MSB/LSB segments with -0.63/0.67 LSB INL and -0.16/0.43 LSB DNL. 216Gb/s PAM8 and 212Gb/s QAM64 OFDM operation are demonstrated at 288mW from a 0.95V supply.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129170787","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Gain-Cell CIM: Leakage and Bitline Swing Aware 2T1C Gain-Cell eDRAM Compute in Memory Design with Bitline Precharge DACs and Compact Schmitt Trigger ADCs 增益单元CIM:泄漏和位线摆动感知的2T1C增益单元eDRAM内存设计与位线预充dac和紧凑型施密特触发adc
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830338
Shanshan Xie, Can Ni, P. Jain, F. Hamzaoglu, J. Kulkarni
We present a leakage and read bitline (RBL) swing aware Compute-in-Memory (CIM) design leveraging a promising high-density gain-cell embedded DRAM bitcell and the intrinsic RBL capacitors to perform CIM computations within the limited RBL swing available in a 2T1C eDRAM. The CIM D/A converters (DAC) are realized intrinsically with variable RBL precharge voltage levels. A/D converters (ADC) are realized using Schmitt Triggers (ST) as compact and reconfigurable Flash comparators. A 65nm CMOS prototype achieves energy efficiency of 7.4-236 TOPS/W, 13.1-411 GOPS/mm2 for the CIFAR-10 dataset with ResNet-20 and improves the defined FoM by 2.3-4.3X over prior CIM designs.
我们提出了一种泄漏和读取位线(RBL)摆动感知的内存中计算(CIM)设计,利用有前途的高密度增益单元嵌入式DRAM位单元和固有的RBL电容器,在2T1C eDRAM中有限的RBL摆动范围内执行CIM计算。CIM数模转换器(DAC)本质上是通过可变RBL预充电电压电平实现的。A/D转换器(ADC)是利用施密特触发器(ST)作为紧凑和可重构的闪存比较器实现的。对于CIFAR-10数据集,采用ResNet-20的65纳米CMOS原型实现了7.4-236 TOPS/W, 13.1-411 GOPS/mm2的能量效率,并将定义的FoM比先前的CIM设计提高了2.3-4.3倍。
{"title":"Gain-Cell CIM: Leakage and Bitline Swing Aware 2T1C Gain-Cell eDRAM Compute in Memory Design with Bitline Precharge DACs and Compact Schmitt Trigger ADCs","authors":"Shanshan Xie, Can Ni, P. Jain, F. Hamzaoglu, J. Kulkarni","doi":"10.1109/vlsitechnologyandcir46769.2022.9830338","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830338","url":null,"abstract":"We present a leakage and read bitline (RBL) swing aware Compute-in-Memory (CIM) design leveraging a promising high-density gain-cell embedded DRAM bitcell and the intrinsic RBL capacitors to perform CIM computations within the limited RBL swing available in a 2T1C eDRAM. The CIM D/A converters (DAC) are realized intrinsically with variable RBL precharge voltage levels. A/D converters (ADC) are realized using Schmitt Triggers (ST) as compact and reconfigurable Flash comparators. A 65nm CMOS prototype achieves energy efficiency of 7.4-236 TOPS/W, 13.1-411 GOPS/mm2 for the CIFAR-10 dataset with ResNet-20 and improves the defined FoM by 2.3-4.3X over prior CIM designs.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115974913","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
First Fire-free, Low-voltage (~1.2 V), and Low Off-current (~3 nA) SiOxTey Selectors 第一款无火,低电压(~1.2 V)和低断流(~ 3na) sioxey选择器
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830395
S. Vaziri, I. Datye, E. Ambrosi, A. Khan, H. Kwon, C. H. Wu, C. Hsu, J. Guy, T. Y. Lee, H. P. Wong, X. Bao
Operating voltage compatibility and low power consumption are crucial for on-chip integration of high-density one-selector-one-resistor (1S/1R) arrays. However, traditional chalcogenide-based threshold selectors require a one-time first fire operation with voltage higher than the threshold voltage. Here, we introduce a novel SiOTe selector based on a stable silicon oxide matrix, with tunable first fire voltage and ultimately first fire-free characteristics. These selectors achieve low threshold voltages (Vth = 1.1 V – 1.5 V) and low off-current (Ioff ~ 3 nA at 0.5 V for Vth = 1.2 V). SiOTe selectors show promising thermal stability (300 °C, 30 min in air) and endurance of >108 cycles.
工作电压兼容性和低功耗是实现高密度一选择器一电阻(1S/1R)阵列片上集成的关键。然而,传统的基于硫族的阈值选择器需要一次性的第一次火灾操作,电压高于阈值电压。在这里,我们介绍了一种基于稳定的氧化硅矩阵的新型SiOTe选择器,具有可调的第一火电压和最终的第一无火特性。这些选择器具有低阈值电压(Vth = 1.1 V - 1.5 V)和低关断电流(Vth = 1.2 V时0.5 V关断~ 3 nA)。SiOTe选择器具有良好的热稳定性(300°C,空气中30分钟)和>108次循环的耐久性。
{"title":"First Fire-free, Low-voltage (~1.2 V), and Low Off-current (~3 nA) SiOxTey Selectors","authors":"S. Vaziri, I. Datye, E. Ambrosi, A. Khan, H. Kwon, C. H. Wu, C. Hsu, J. Guy, T. Y. Lee, H. P. Wong, X. Bao","doi":"10.1109/vlsitechnologyandcir46769.2022.9830395","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830395","url":null,"abstract":"Operating voltage compatibility and low power consumption are crucial for on-chip integration of high-density one-selector-one-resistor (1S/1R) arrays. However, traditional chalcogenide-based threshold selectors require a one-time first fire operation with voltage higher than the threshold voltage. Here, we introduce a novel SiOTe selector based on a stable silicon oxide matrix, with tunable first fire voltage and ultimately first fire-free characteristics. These selectors achieve low threshold voltages (Vth = 1.1 V – 1.5 V) and low off-current (Ioff ~ 3 nA at 0.5 V for Vth = 1.2 V). SiOTe selectors show promising thermal stability (300 °C, 30 min in air) and endurance of >108 cycles.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116348202","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A 3nm GAAFET Analog Assisted Digital LDO with High Current Density for Dynamic Voltage Scaling Mobile Applications 一种3nm GAAFET模拟辅助数字LDO,具有高电流密度,用于动态电压缩放移动应用
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830252
Seki Kim, Hyongmin Lee, Yongjin Lee, Dongha Lee, Byeongbae Lee, Jahoon Jin, Susie Kim, Miri Noh, K. Kang, Sangho Kim, Takahiro Nomiyama, Ji-Seon Paek, Jongwoo Lee
This paper presents an analog assisted digital LDO achieving high current density and fast response characteristic. A current comparator based control method enables over 10x ratio of digital current over analog current for high current density regardless of PVT condition. The proposed LDO in 3nm GAAFET CMOS technology demonstrated current density of 34.15A/mm2 and fast transient characteristic of 38mV droop at 1A/1ns load current condition.
本文提出了一种模拟辅助数字LDO,具有高电流密度和快速响应特性。基于电流比较器的控制方法使数字电流比模拟电流超过10倍,无论PVT条件如何,都能实现高电流密度。采用3nm GAAFET CMOS技术的LDO在1A/1ns负载电流条件下电流密度为34.15A/mm2,瞬态特性为38mV。
{"title":"A 3nm GAAFET Analog Assisted Digital LDO with High Current Density for Dynamic Voltage Scaling Mobile Applications","authors":"Seki Kim, Hyongmin Lee, Yongjin Lee, Dongha Lee, Byeongbae Lee, Jahoon Jin, Susie Kim, Miri Noh, K. Kang, Sangho Kim, Takahiro Nomiyama, Ji-Seon Paek, Jongwoo Lee","doi":"10.1109/vlsitechnologyandcir46769.2022.9830252","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830252","url":null,"abstract":"This paper presents an analog assisted digital LDO achieving high current density and fast response characteristic. A current comparator based control method enables over 10x ratio of digital current over analog current for high current density regardless of PVT condition. The proposed LDO in 3nm GAAFET CMOS technology demonstrated current density of 34.15A/mm2 and fast transient characteristic of 38mV droop at 1A/1ns load current condition.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115385904","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
An 81.6dB SNDR 15.625MHz BW 3rd Order CT SDM with a True TI NS Quantizer 带True TI NS量化器的81.6dB SNDR 15.625MHz BW三阶CT SDM
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830207
Seungjong Lee, Taewook Kang, Seungheun Song, Kyumin Kwon, Michael J. Flynn
This paper presents a continuous-time (CT) sigma-delta modulator (SDM) with a time-interleaved (TI) noise-shaping (NS) quantizer (QTZ). Complete parallelization of the NS QTZ operations relaxes loop filtering and residue integration and enables a high (6-bit) QTZ resolution. The 28nm CMOS prototype consumes 6.4mW at 500MS/s. The measured SNDR is 81.6dB for a 15.625MHz bandwidth. The corresponding Schreier FoMSNDR is 175.5dB.
本文提出了一种带时间交错(TI)噪声整形(NS)量化器(QTZ)的连续时间(CT) σ δ调制器(SDM)。NS QTZ操作的完全并行化放松了环路滤波和剩余积分,并实现了高(6位)QTZ分辨率。28nm CMOS原型在500MS/s时消耗6.4mW。在15.625MHz带宽下,实测SNDR为81.6dB。对应的Schreier FoMSNDR为175.5dB。
{"title":"An 81.6dB SNDR 15.625MHz BW 3rd Order CT SDM with a True TI NS Quantizer","authors":"Seungjong Lee, Taewook Kang, Seungheun Song, Kyumin Kwon, Michael J. Flynn","doi":"10.1109/vlsitechnologyandcir46769.2022.9830207","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830207","url":null,"abstract":"This paper presents a continuous-time (CT) sigma-delta modulator (SDM) with a time-interleaved (TI) noise-shaping (NS) quantizer (QTZ). Complete parallelization of the NS QTZ operations relaxes loop filtering and residue integration and enables a high (6-bit) QTZ resolution. The 28nm CMOS prototype consumes 6.4mW at 500MS/s. The measured SNDR is 81.6dB for a 15.625MHz bandwidth. The corresponding Schreier FoMSNDR is 175.5dB.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114481632","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
First Demonstration of High-Sensitivity (NEP<1fW•Hz-1/2) Back-Illuminated Active-Matrix Deep UV Image Sensor by Monolithic Integration of Ga2O3 Photodetectors and Oxide Thin-Film-Transistors Ga2O3光电探测器和氧化薄膜晶体管单片集成的高灵敏度(NEP<1fW•Hz-1/2)背照有源矩阵深紫外图像传感器的首次演示
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830520
Yuan Qin, Congyan Lu, Zhaoan Yu, Zhihong Yao, F. Wu, Danian Dong, Xiaolong Zhao, Guangwei Xu, Yuhao Zhang, Shibing Long, Ling Li, Ming Liu
We, for the first time, demonstrated a back-illuminated active-matrix deep UV (DUV) image sensor by monolithically integrating an ultra-wide bandgap (UWBG) Ga2O3 photodetector (PD) array and IGZO thin-film-transistors (TFT) based on a low temperature back-end-of-line (BEOL) process. Benefited from the low off-state current of 10-13A, high on/off ratio of 5×108, and high stability of IGZO TFTs, the integrated PD/TFT sensor cell presents super-high sensitivity with NEP down to 1fW•Hz-1/2 with a high responsivity and specific detectivity of 302A/W and 1.7×1015Jones, respectively. The 32×32 DUV image sensor shows excellent uniformity and demonstrates a superior image recognition ability with light intensity down to 2 μW/cm2. This scalable, high-resolution DUV image sensor shows great promise for advanced imaging and machine vision applications.
我们首次展示了一种背光源有源矩阵深紫外(DUV)图像传感器,该传感器基于低温后端线(BEOL)工艺,将超宽带隙(UWBG) Ga2O3光电探测器(PD)阵列和IGZO薄膜晶体管(TFT)单片集成。得益于10-13A的低关断电流、5×108的高通断比和IGZO TFT的高稳定性,该集成PD/TFT传感器单元具有超高灵敏度,NEP低至1fW•Hz-1/2,具有302A/W和1.7×1015Jones的高响应率和比探测率。32×32 DUV图像传感器具有优异的均匀性和较强的图像识别能力,光强可低至2 μW/cm2。这种可扩展的高分辨率DUV图像传感器在先进成像和机器视觉应用中显示出巨大的前景。
{"title":"First Demonstration of High-Sensitivity (NEP<1fW•Hz-1/2) Back-Illuminated Active-Matrix Deep UV Image Sensor by Monolithic Integration of Ga2O3 Photodetectors and Oxide Thin-Film-Transistors","authors":"Yuan Qin, Congyan Lu, Zhaoan Yu, Zhihong Yao, F. Wu, Danian Dong, Xiaolong Zhao, Guangwei Xu, Yuhao Zhang, Shibing Long, Ling Li, Ming Liu","doi":"10.1109/vlsitechnologyandcir46769.2022.9830520","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830520","url":null,"abstract":"We, for the first time, demonstrated a back-illuminated active-matrix deep UV (DUV) image sensor by monolithically integrating an ultra-wide bandgap (UWBG) Ga2O3 photodetector (PD) array and IGZO thin-film-transistors (TFT) based on a low temperature back-end-of-line (BEOL) process. Benefited from the low off-state current of 10-13A, high on/off ratio of 5×108, and high stability of IGZO TFTs, the integrated PD/TFT sensor cell presents super-high sensitivity with NEP down to 1fW•Hz-1/2 with a high responsivity and specific detectivity of 302A/W and 1.7×1015Jones, respectively. The 32×32 DUV image sensor shows excellent uniformity and demonstrates a superior image recognition ability with light intensity down to 2 μW/cm2. This scalable, high-resolution DUV image sensor shows great promise for advanced imaging and machine vision applications.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121011594","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1