首页 > 最新文献

2021 IEEE 71st Electronic Components and Technology Conference (ECTC)最新文献

英文 中文
Study of i-Line Photosensitive Materials with a Wide Depth of Focus for Fine Pitch Redistribution Layers 细间距重分布层宽聚焦深度i-线光敏材料的研究
Pub Date : 2021-06-01 DOI: 10.1109/ECTC32696.2021.00310
Daiki Yukimori, Mei Kunito, N. Ishikawa, A. Sekiguchi, T. Ogata
In this study, we investigated the design of photosensitive materials with a wide depth of focus (DOF) for use in fine pitch redistribution layers. First, we developed a photosensitive material having a DOF exceeding 4.0 µm with 0.8-µm line/space (L/S) patterns and that having a DOF of 4.0 µm with $mathrm{0}.mathrm{7}-mu mathrm{m}$ L/S patterns, at a numerical aperture (NA) of 0.5. Second, we simulated the DOF to match the experimental DOF using lithography simulation software (PROLITH). Subsequently, we simulated the DOF at an NA of 0.24 and obtained a $mathrm{10}.mathrm{8}-mu mathrm{m}$ DOF with $mathrm{0}.mathrm{8}-mu mathrm{m}$ L/S patterns and a $mathrm{6}.mathrm{8}-mu mathrm{m}$ DOF with $mathrm{0}.mathrm{7}-mu mathrm{m}$ L/S patterns of the photosensitive material. For a detailed insight of the performance at the NA of 0.24, we conducted an aerial image simulation; we also simulated the impact of three dissolution parameters-$-mathrm{R}_{text{max}}, mathrm{R}_{text{min}}$, and development time—on the DOF. For the $mathrm{0}.mathrm{7}-mu mathrm{m}$ L/S patterns, we found that precise $mathrm{R}_{text{min}}$ control is essential for a wide DOF. In fact, for an $mathrm{R}_{text{max}}$ ranging from 150 to 1000 nm/s, a precise $mathrm{R}_{text{min}}$ control of less than 0.4 nm/s is required for a $mathrm{7}.mathrm{0}-mu mathrm{m}$ DOF.
在本研究中,我们研究了用于细间距重分布层的具有宽焦深(DOF)的光敏材料的设计。首先,我们开发了一种光敏材料,具有0.8 μ m线/空间(L/S)图案,DOF超过4.0 μ m,并且具有$ mathm{0}的DOF为4.0 μ m。mathrm{7}-mu mathrm{m}$ L/S模式,数值孔径(NA)为0.5。其次,利用光刻仿真软件(PROLITH)进行了DOF仿真,使之与实验DOF相匹配。随后,我们在NA为0.24的情况下模拟了DOF,得到了$ mathm{10}。 mathm {8}-mu mathm {m}$ DOF与$ mathm{0}。 mathm {8}-mu mathm {m}$ L/S模式和$ mathm{6}。 mathm {8}-mu mathm {m}$ DOF与$ mathm{0}。 mathm {7}-mu mathm {m}$ L/S模式的光敏材料。为了详细了解NA为0.24时的性能,我们进行了航空图像模拟;我们还模拟了三个分解参数$- mathm {R}_{text{max}}、 mathm {R}_{text{min}}$和开发时间对DOF的影响。对于$ mathm{0}。mathrm{7}-mu mathrm{m}$ L/S模式,我们发现精确的$mathrm{R}_{text{min}}$控制对于大自由度是必不可少的。事实上,对于$mathrm{R}_{text{max}}$范围从150到1000 nm/s, $mathrm{R}_{text{min}}$需要精确控制在小于0.4 nm/s的$mathrm{R}_{text}}$。 mathm {0}-mu mathm {m}$ DOF。
{"title":"Study of i-Line Photosensitive Materials with a Wide Depth of Focus for Fine Pitch Redistribution Layers","authors":"Daiki Yukimori, Mei Kunito, N. Ishikawa, A. Sekiguchi, T. Ogata","doi":"10.1109/ECTC32696.2021.00310","DOIUrl":"https://doi.org/10.1109/ECTC32696.2021.00310","url":null,"abstract":"In this study, we investigated the design of photosensitive materials with a wide depth of focus (DOF) for use in fine pitch redistribution layers. First, we developed a photosensitive material having a DOF exceeding 4.0 µm with 0.8-µm line/space (L/S) patterns and that having a DOF of 4.0 µm with $mathrm{0}.mathrm{7}-mu mathrm{m}$ L/S patterns, at a numerical aperture (NA) of 0.5. Second, we simulated the DOF to match the experimental DOF using lithography simulation software (PROLITH). Subsequently, we simulated the DOF at an NA of 0.24 and obtained a $mathrm{10}.mathrm{8}-mu mathrm{m}$ DOF with $mathrm{0}.mathrm{8}-mu mathrm{m}$ L/S patterns and a $mathrm{6}.mathrm{8}-mu mathrm{m}$ DOF with $mathrm{0}.mathrm{7}-mu mathrm{m}$ L/S patterns of the photosensitive material. For a detailed insight of the performance at the NA of 0.24, we conducted an aerial image simulation; we also simulated the impact of three dissolution parameters-$-mathrm{R}_{text{max}}, mathrm{R}_{text{min}}$, and development time—on the DOF. For the $mathrm{0}.mathrm{7}-mu mathrm{m}$ L/S patterns, we found that precise $mathrm{R}_{text{min}}$ control is essential for a wide DOF. In fact, for an $mathrm{R}_{text{max}}$ ranging from 150 to 1000 nm/s, a precise $mathrm{R}_{text{min}}$ control of less than 0.4 nm/s is required for a $mathrm{7}.mathrm{0}-mu mathrm{m}$ DOF.","PeriodicalId":351817,"journal":{"name":"2021 IEEE 71st Electronic Components and Technology Conference (ECTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122043145","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Extraction of Complex Permittivity of Dielectrics on Package from W-band to D-band 封装介质复介电常数从w波段到d波段的提取
Pub Date : 2021-06-01 DOI: 10.1109/ECTC32696.2021.00100
Yi-Ting Lin, H. Kuo, Po-I Wu, Ming-Fong Jhong, Po-Chih Pan, Chung-Yuan Liu, Chen-Chao Wang, Tzong-Lin Wu
In this paper, a simple method of extracting dielectric material is proposed and copper surface roughness is taken into consideration. The measured results from W-band to D-band are shown to verify the proposed method of extracting complex permittivity of dielectrics. Besides, to validate the proposed transmission line technique, the full sets of complex S-parameters with an appropriate “through-reflect-line” (TRL) calibration pattern are measured as well. It also allows accurate determination of the dielectric constant and loss of thin sheet substrate materials. A great consistency between transmission line technique without calibration and TRL calibration method represents that systematic errors are small. In addition, different samples within the same set of packaging are measured and used to extract dielectric constant and loss tangent, which agree well with each other.
本文提出了一种简单的提取介质材料的方法,并考虑了铜的表面粗糙度。从w波段到d波段的测量结果验证了提取介质复介电常数的方法。此外,为了验证所提出的传输线技术,还测量了具有适当的“穿透反射线”(TRL)校准模式的全套复s参数。它还允许准确地测定介电常数和薄片衬底材料的损耗。传输线无标定技术与TRL标定方法一致性好,系统误差小。此外,对同一套封装内的不同样品进行了测量,并提取了介电常数和损耗正切,结果吻合较好。
{"title":"Extraction of Complex Permittivity of Dielectrics on Package from W-band to D-band","authors":"Yi-Ting Lin, H. Kuo, Po-I Wu, Ming-Fong Jhong, Po-Chih Pan, Chung-Yuan Liu, Chen-Chao Wang, Tzong-Lin Wu","doi":"10.1109/ECTC32696.2021.00100","DOIUrl":"https://doi.org/10.1109/ECTC32696.2021.00100","url":null,"abstract":"In this paper, a simple method of extracting dielectric material is proposed and copper surface roughness is taken into consideration. The measured results from W-band to D-band are shown to verify the proposed method of extracting complex permittivity of dielectrics. Besides, to validate the proposed transmission line technique, the full sets of complex S-parameters with an appropriate “through-reflect-line” (TRL) calibration pattern are measured as well. It also allows accurate determination of the dielectric constant and loss of thin sheet substrate materials. A great consistency between transmission line technique without calibration and TRL calibration method represents that systematic errors are small. In addition, different samples within the same set of packaging are measured and used to extract dielectric constant and loss tangent, which agree well with each other.","PeriodicalId":351817,"journal":{"name":"2021 IEEE 71st Electronic Components and Technology Conference (ECTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122067149","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Die to Wafer Hybrid Bonding and Fine Pitch Considerations 晶圆与晶圆之间的混合键合和细间距的考虑
Pub Date : 2021-06-01 DOI: 10.1109/ECTC32696.2021.00326
Thomas Workman, L. Mirkarimi, J. Theil, G. Fountain, K.M. Bang, Bongsub Lee, C. Uzoh, D. Suwito, Guilian Gao, P. Mrozek
Hybrid bonding is becoming increasingly important as the semiconductor industry plans for the next generation of packaging where high bandwidth architectures are required to achieve improved compute performance demands. The scalability challenges in solder-based interconnects at $< mathrm{35} mu mathrm{m}$ pitch has fueled the adoption of hybrid bonding as a technology with enhanced scalability. The direct bond interconnect (DBI®) technology which was developed originally for wafer to wafer (W2W) applications has been extended to die to wafer (D2W) as DBI® Ultra. In this paper, we discuss the test results for a new die to wafer hybrid bonding test vehicle with an interconnect design of $2 mumathrm{m}$ pad on $4 mumathrm{m}$ pitch. The 8 mm by 12 mm chip contains daisy chain test structures ranging from 126,000 to 1,600,000 links. The component die wafers were singulated with conventional stealth dicing and then processed on tape frame for preparation of D2W bonding. The $2 mumathrm{m}$ bond pad requires sub-micron alignment accuracy within the pick and place tool for 100% alignment yield. However, due to bonder availability, our initial trials were bonded on a Besi Chameo Advanced bonder with an ISO 3 bonding environment and an alignment accuracy of $+/- 3 mumathrm{m} (3 sigma)$. The bond quality is characterized with C-mode scanning acoustic microscopy (CSAM), electrical resistance measurement, and cross-section microscopy analysis. The bond yield is shared as a function of bond defect density and electrical yield. Daisy chain yield and resistance versus misalignment for the fine pitch test vehicle are compared to test vehicles having a $10 mumathrm{m}$ pad on $40 mumathrm{m}$ pitch. The implications of the 10x pitch shrink on process control from wafer and die fabrication are discussed.
随着半导体行业规划下一代封装,需要高带宽架构来实现改进的计算性能需求,混合键合正变得越来越重要。$< mathrm{35} mu mathrm{m}$级焊料互连的可扩展性挑战推动了混合键合技术的采用,这是一种具有增强可扩展性的技术。直接键合互连(DBI®)技术最初是为晶圆到晶圆(W2W)应用而开发的,现已扩展到晶圆到晶圆(D2W),成为DBI®Ultra。本文讨论了一种新型晶圆混合键合试验车的试验结果,该试验车采用$2 mumathrm{m}$衬垫在$4 mumathrm{m}$节上的互连设计。8毫米× 12毫米的芯片包含菊花链测试结构,从126,000到1,600,000个链接。采用常规的隐形切割方法对元件晶片进行单晶化,然后在带架上进行加工,制备D2W键合。$2 mumathrm{m}$键合垫要求在拾取和放置工具内的亚微米对准精度为100% alignment yield. However, due to bonder availability, our initial trials were bonded on a Besi Chameo Advanced bonder with an ISO 3 bonding environment and an alignment accuracy of $+/- 3 mumathrm{m} (3 sigma)$. The bond quality is characterized with C-mode scanning acoustic microscopy (CSAM), electrical resistance measurement, and cross-section microscopy analysis. The bond yield is shared as a function of bond defect density and electrical yield. Daisy chain yield and resistance versus misalignment for the fine pitch test vehicle are compared to test vehicles having a $10 mumathrm{m}$ pad on $40 mumathrm{m}$ pitch. The implications of the 10x pitch shrink on process control from wafer and die fabrication are discussed.
{"title":"Die to Wafer Hybrid Bonding and Fine Pitch Considerations","authors":"Thomas Workman, L. Mirkarimi, J. Theil, G. Fountain, K.M. Bang, Bongsub Lee, C. Uzoh, D. Suwito, Guilian Gao, P. Mrozek","doi":"10.1109/ECTC32696.2021.00326","DOIUrl":"https://doi.org/10.1109/ECTC32696.2021.00326","url":null,"abstract":"Hybrid bonding is becoming increasingly important as the semiconductor industry plans for the next generation of packaging where high bandwidth architectures are required to achieve improved compute performance demands. The scalability challenges in solder-based interconnects at $< mathrm{35} mu mathrm{m}$ pitch has fueled the adoption of hybrid bonding as a technology with enhanced scalability. The direct bond interconnect (DBI®) technology which was developed originally for wafer to wafer (W2W) applications has been extended to die to wafer (D2W) as DBI® Ultra. In this paper, we discuss the test results for a new die to wafer hybrid bonding test vehicle with an interconnect design of $2 mumathrm{m}$ pad on $4 mumathrm{m}$ pitch. The 8 mm by 12 mm chip contains daisy chain test structures ranging from 126,000 to 1,600,000 links. The component die wafers were singulated with conventional stealth dicing and then processed on tape frame for preparation of D2W bonding. The $2 mumathrm{m}$ bond pad requires sub-micron alignment accuracy within the pick and place tool for 100% alignment yield. However, due to bonder availability, our initial trials were bonded on a Besi Chameo Advanced bonder with an ISO 3 bonding environment and an alignment accuracy of $+/- 3 mumathrm{m} (3 sigma)$. The bond quality is characterized with C-mode scanning acoustic microscopy (CSAM), electrical resistance measurement, and cross-section microscopy analysis. The bond yield is shared as a function of bond defect density and electrical yield. Daisy chain yield and resistance versus misalignment for the fine pitch test vehicle are compared to test vehicles having a $10 mumathrm{m}$ pad on $40 mumathrm{m}$ pitch. The implications of the 10x pitch shrink on process control from wafer and die fabrication are discussed.","PeriodicalId":351817,"journal":{"name":"2021 IEEE 71st Electronic Components and Technology Conference (ECTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123894972","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
A Systematic Study and Lifetime Modeling on the Board Level Reliability of SSD after Temperature Cycling Test 温度循环试验后SSD板级可靠性的系统研究与寿命建模
Pub Date : 2021-06-01 DOI: 10.1109/ECTC32696.2021.00165
Choongpyo Jeon, Youngsung Choi, K. Rhew, Jinsoo Bae, Yeungjung Cho, S. Pae
Solid state drive (SSD) is widely used for modern computing systems. As design of systems becomes more complex, concern for thermal fatigue lifetime has enlarged by increasing thermo-mechanical stress. Thermal cycling test (TCT) is the most common and important reliability item to determine solder joint reliability. The differences between the TCT conditions at component manufacturer's sites and the user environment, however, can cause a change in fatigue lifetime and failure location because of the difference in mechanical load condition such as housing, connector, screw and so on. For that reason, a proper test method is required reflecting various environmental and field conditions. This paper proposes a novel TCT method that is designed in considering the mounted condition of M.2 next generation form factor (NGFF) SSD. The reliability assessment was conducted in two temperature range and loading conditions, and the test results were analyzed on a SSD level test equipment and a test program. Test result show acceleration relationship is verified by temperature acceleration. Test jig reflecting real use environment was manufactured to verify the differences between the loading conditions. In the case of mounting SSD to test jig, Weibull characteristic parameters were degraded. Test results show shape parameter is decreased from 6.25 to 2.02, and 10 percent life is also decreased 60.7 percent with SSD mounting condition. Failure location was changed from solder on NAND side to solder between DRAM and controller side according to the loading condition. This implies that it is completely different reliability assessment depending on whether the jig is applied or not. Failure analysis was conducted by cross section analysis method. Finite element analysis (FEA) was conducted to understand the failure location and stress level changes caused by test conditions. These results are verified by strain measurement of each location. The strain rate of the point of DRAM and controller side was increased by approximately 20 percent due to applying test jig, and the increase in strain rate leads to an initial lifetime deterioration. This work provides the results of the impact of loading conditions under TCT of M.2 SSD. In conclusion, the cause of reliability characteristics degradation and failure location change derived from the difference in loading conditions of M.2 SSD is investigated, and more accurate modeling and evaluation methodology for predicting product level reliability characteristics are introduced. Thus, SSD level reliability test design reflecting a condition mounted on end-products is needed to verify more accurate reliability prediction.
固态硬盘(SSD)广泛应用于现代计算系统。随着系统设计的复杂化,热机械应力的增加,热疲劳寿命的问题日益受到关注。热循环试验(TCT)是确定焊点可靠性的最常见也是最重要的可靠性项目。然而,由于部件制造商现场的TCT条件与用户环境的差异,由于外壳、连接器、螺钉等机械载荷条件的差异,可能会导致疲劳寿命和失效位置的变化。因此,需要一种适当的测试方法来反映各种环境和现场条件。针对M.2下一代外形因数(NGFF)固态硬盘的安装条件,提出了一种新的TCT方法。在两种温度范围和加载条件下进行了可靠性评估,并在SSD级测试设备和测试程序上对测试结果进行了分析。试验结果表明,温度加速度与加速度之间的关系得到了验证。制作了反映实际使用环境的试验夹具,验证了载荷条件之间的差异。在安装SSD到测试夹具的情况下,威布尔特征参数降低。测试结果表明,在SSD安装条件下,形状参数从6.25降低到2.02,10%的寿命也降低了60.7%。根据负载情况,将故障位置从NAND侧的焊点更改为DRAM与控制器侧的焊点。这意味着它是完全不同的可靠性评估取决于是否应用夹具。采用截面分析法进行失效分析。通过有限元分析(FEA)了解试验条件导致的破坏位置和应力水平变化。这些结果通过每个位置的应变测量得到验证。由于使用测试夹具,DRAM和控制器侧点的应变率增加了约20%,应变率的增加导致了初始寿命的恶化。本文给出了M.2固态硬盘TCT下加载条件的影响结果。最后,研究了M.2固态硬盘加载条件差异导致可靠性特性退化和失效位置变化的原因,提出了更准确的产品级可靠性特性预测建模和评估方法。因此,为了验证更准确的可靠性预测,需要设计反映最终产品安装状态的SSD级可靠性测试。
{"title":"A Systematic Study and Lifetime Modeling on the Board Level Reliability of SSD after Temperature Cycling Test","authors":"Choongpyo Jeon, Youngsung Choi, K. Rhew, Jinsoo Bae, Yeungjung Cho, S. Pae","doi":"10.1109/ECTC32696.2021.00165","DOIUrl":"https://doi.org/10.1109/ECTC32696.2021.00165","url":null,"abstract":"Solid state drive (SSD) is widely used for modern computing systems. As design of systems becomes more complex, concern for thermal fatigue lifetime has enlarged by increasing thermo-mechanical stress. Thermal cycling test (TCT) is the most common and important reliability item to determine solder joint reliability. The differences between the TCT conditions at component manufacturer's sites and the user environment, however, can cause a change in fatigue lifetime and failure location because of the difference in mechanical load condition such as housing, connector, screw and so on. For that reason, a proper test method is required reflecting various environmental and field conditions. This paper proposes a novel TCT method that is designed in considering the mounted condition of M.2 next generation form factor (NGFF) SSD. The reliability assessment was conducted in two temperature range and loading conditions, and the test results were analyzed on a SSD level test equipment and a test program. Test result show acceleration relationship is verified by temperature acceleration. Test jig reflecting real use environment was manufactured to verify the differences between the loading conditions. In the case of mounting SSD to test jig, Weibull characteristic parameters were degraded. Test results show shape parameter is decreased from 6.25 to 2.02, and 10 percent life is also decreased 60.7 percent with SSD mounting condition. Failure location was changed from solder on NAND side to solder between DRAM and controller side according to the loading condition. This implies that it is completely different reliability assessment depending on whether the jig is applied or not. Failure analysis was conducted by cross section analysis method. Finite element analysis (FEA) was conducted to understand the failure location and stress level changes caused by test conditions. These results are verified by strain measurement of each location. The strain rate of the point of DRAM and controller side was increased by approximately 20 percent due to applying test jig, and the increase in strain rate leads to an initial lifetime deterioration. This work provides the results of the impact of loading conditions under TCT of M.2 SSD. In conclusion, the cause of reliability characteristics degradation and failure location change derived from the difference in loading conditions of M.2 SSD is investigated, and more accurate modeling and evaluation methodology for predicting product level reliability characteristics are introduced. Thus, SSD level reliability test design reflecting a condition mounted on end-products is needed to verify more accurate reliability prediction.","PeriodicalId":351817,"journal":{"name":"2021 IEEE 71st Electronic Components and Technology Conference (ECTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123967520","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
New Methodologies for Evaluating Microelectronics Subject to Board-level Vibrations 评估电路板级振动下微电子学的新方法
Pub Date : 2021-06-01 DOI: 10.1109/ECTC32696.2021.00220
Valeriy Khaldarov, Dongji Xie, J. Lee, A. Shalumov
In this paper, a methodology is developed to test the durability of microelectronics subjected to vibrations at the board level. This type of analysis plays a critical role in assessing the overall reliability of the printed circuit assemblies used in harsh environmental conditions such as automotive applications which include vibration and temperature cycling. Such requirements can arise due to the general trend of mounting power electronics out of the relatively benign and protected traditional passenger compartment to the much harsher under the hood environment of engines and transmissions. Harsh environmental conditions can cause not only mechanical failures in device housings such as electronic control units used in the automotive applications but also create electrical failures in the printed circuit assemblies mounted inside the housing due to bending and printed circuit board deformation. These electrical failures may result from various failure modes such as circuit board cracking, board trace cracking, solder interconnection cracking, pad cratering, via failures, and component cracks. As a result, an initiative is being undertaken in updating the EIA/JEDEC JESD22-B103B standard which currently defines some vibration test parameters on the component level without specifying an appropriate test vehicle. In order to provide more meaningful test results data, the overall goal will be to place the emphasis on the board level by developing corresponding test methods which may include various levels of sinusoidal and random vibrations along with possible temperature cycling tests for automotive as well as other applications. Some preliminary testing has been carried out by different laboratories of the JESD22 working group participants. However due to varying capabilities of each testing laboratory the main challenge is to provide consistent results interpretation and comparison through simulation analysis which will be presented in this study.
在本文中,开发了一种方法来测试微电子器件在板级振动下的耐久性。这种类型的分析在评估恶劣环境条件下使用的印刷电路组件的整体可靠性方面起着至关重要的作用,例如汽车应用,包括振动和温度循环。由于将动力电子设备从相对温和和受保护的传统乘客舱安装到发动机和变速箱的引擎盖下更恶劣的环境的总体趋势,这种要求可能会出现。恶劣的环境条件不仅会导致设备外壳(如汽车应用中使用的电子控制单元)的机械故障,还会导致安装在外壳内的印刷电路组件因弯曲和印刷电路板变形而发生电气故障。这些电气故障可能由各种故障模式引起,如电路板裂纹、电路板痕迹裂纹、焊料互连裂纹、焊盘撞击、通孔故障和组件裂纹。因此,正在着手更新EIA/JEDEC JESD22-B103B标准,该标准目前在部件级别上定义了一些振动测试参数,但没有指定适当的测试车辆。为了提供更有意义的测试结果数据,总体目标将是通过开发相应的测试方法,将重点放在电路板层面,这些测试方法可能包括各种水平的正弦和随机振动,以及汽车和其他应用的可能的温度循环测试。JESD22工作组参与者的不同实验室进行了一些初步测试。然而,由于每个测试实验室的能力不同,主要的挑战是通过模拟分析提供一致的结果解释和比较,这将在本研究中提出。
{"title":"New Methodologies for Evaluating Microelectronics Subject to Board-level Vibrations","authors":"Valeriy Khaldarov, Dongji Xie, J. Lee, A. Shalumov","doi":"10.1109/ECTC32696.2021.00220","DOIUrl":"https://doi.org/10.1109/ECTC32696.2021.00220","url":null,"abstract":"In this paper, a methodology is developed to test the durability of microelectronics subjected to vibrations at the board level. This type of analysis plays a critical role in assessing the overall reliability of the printed circuit assemblies used in harsh environmental conditions such as automotive applications which include vibration and temperature cycling. Such requirements can arise due to the general trend of mounting power electronics out of the relatively benign and protected traditional passenger compartment to the much harsher under the hood environment of engines and transmissions. Harsh environmental conditions can cause not only mechanical failures in device housings such as electronic control units used in the automotive applications but also create electrical failures in the printed circuit assemblies mounted inside the housing due to bending and printed circuit board deformation. These electrical failures may result from various failure modes such as circuit board cracking, board trace cracking, solder interconnection cracking, pad cratering, via failures, and component cracks. As a result, an initiative is being undertaken in updating the EIA/JEDEC JESD22-B103B standard which currently defines some vibration test parameters on the component level without specifying an appropriate test vehicle. In order to provide more meaningful test results data, the overall goal will be to place the emphasis on the board level by developing corresponding test methods which may include various levels of sinusoidal and random vibrations along with possible temperature cycling tests for automotive as well as other applications. Some preliminary testing has been carried out by different laboratories of the JESD22 working group participants. However due to varying capabilities of each testing laboratory the main challenge is to provide consistent results interpretation and comparison through simulation analysis which will be presented in this study.","PeriodicalId":351817,"journal":{"name":"2021 IEEE 71st Electronic Components and Technology Conference (ECTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124070715","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Electromigration and Temperature Cycling Tests of Cu-Cu Joints Fabricated by Instant Copper Direct Bonding 瞬态铜直接键合制备Cu-Cu接头的电迁移和温度循环试验
Pub Date : 2021-06-01 DOI: 10.1109/ECTC32696.2021.00163
K. Shie, Po-Ning Hsu, Yu-Jin Li, K. Tu, B. Lin, Chia-Cheng Chang, Chih Chen
Because Cu-Cu bonding is the key technique in 3D IC packaging, its reliabilities will become issues in the future. In this study, Cu-Cu joints with underfill was fabricated by using instant bonding process, in which bonding conditions were under 31∼90 MPa at 300 °C for 10∼30 s. Several samples underwent two reliability tests: temperature cycling test (TCT) and electromigration (EM) test. These samples passed 1000 cycles TCT, and the measured EM life time was least 3 times longer than that of solder joints with similar dimension. Failure mechanisms of reliability tests were examined by cross-section images and finite element analysis. In TCT, cracks were formed at bonding interface because it is relative weak compared to the neighboring structures. For EM tests, voids were formed at passivation opening of joints with current crowding. The current study provides a fundamental understanding in the reliabilities of Cu/organic dielectric hybrid bonding structures.
由于Cu-Cu键合是3D集成电路封装的关键技术,其可靠性将成为未来的问题。在本研究中,采用瞬时键合工艺制备了带下填料的Cu-Cu接头,键合条件为31 ~ 90 MPa、300℃、10 ~ 30 s。一些样品进行了两种可靠性测试:温度循环测试(TCT)和电迁移测试(EM)。这些样品通过了1000次TCT循环,测得的电磁寿命比相同尺寸的焊点至少长3倍。采用截面图和有限元分析对可靠性试验失效机理进行了分析。在TCT中,由于与相邻结构相比,结合界面相对较弱,因此在结合界面处形成裂纹。在电磁测试中,在电流拥挤的接头钝化口处形成空洞。本研究为Cu/有机介电杂化键合结构的可靠性提供了一个基本的认识。
{"title":"Electromigration and Temperature Cycling Tests of Cu-Cu Joints Fabricated by Instant Copper Direct Bonding","authors":"K. Shie, Po-Ning Hsu, Yu-Jin Li, K. Tu, B. Lin, Chia-Cheng Chang, Chih Chen","doi":"10.1109/ECTC32696.2021.00163","DOIUrl":"https://doi.org/10.1109/ECTC32696.2021.00163","url":null,"abstract":"Because Cu-Cu bonding is the key technique in 3D IC packaging, its reliabilities will become issues in the future. In this study, Cu-Cu joints with underfill was fabricated by using instant bonding process, in which bonding conditions were under 31∼90 MPa at 300 °C for 10∼30 s. Several samples underwent two reliability tests: temperature cycling test (TCT) and electromigration (EM) test. These samples passed 1000 cycles TCT, and the measured EM life time was least 3 times longer than that of solder joints with similar dimension. Failure mechanisms of reliability tests were examined by cross-section images and finite element analysis. In TCT, cracks were formed at bonding interface because it is relative weak compared to the neighboring structures. For EM tests, voids were formed at passivation opening of joints with current crowding. The current study provides a fundamental understanding in the reliabilities of Cu/organic dielectric hybrid bonding structures.","PeriodicalId":351817,"journal":{"name":"2021 IEEE 71st Electronic Components and Technology Conference (ECTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125749438","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Effect of Pneumatic Curing on Cycle Time Reduction and Void Suppression of Polyimide Wafer Coating 气动固化对聚酰亚胺圆片涂层缩短周期和抑制空隙的影响
Pub Date : 2021-06-01 DOI: 10.1109/ECTC32696.2021.00333
Huaneng Su, Cheng-Che Tsou, Auger Horng
In this article, the polyimide formation at high pressure for advanced assembly technology is reported for the first time. Polyimides are formed in a pneumatic oven for evaluation of voids and cycle times. For comparison and production evaluation, the polyimides were fabricated by curing photo-definable aqueous developers with different recipes and batches of wafers, respectively. For the recipes in the pneumatic oven, instead of the multistep thermal cycles, the ramp-up time and curing time could be reduced drastically to enhance the production throughput. Furthermore, as shown in optical microscope (OM) results, no void was found for the pneumatic oven samples, and lots of voids were detected for the normal oven ones. This innovation enables manufacturers to reduce 36% of process cycle time. The polyimide cyclization rate was estimated from the signal strength ratio by using Fourier-transform infrared spectroscopy (FTIR). The thermal stability by using a thermogravimetric analyzer (TGA) and the glass transition temperature by thermomechanical analysis (TMA) was analyzed to clarify the properties of the polyimides for these recipes. The results show no obvious difference is observed between pneumatic cured polyimides and normal-oven cured ones. Mechanical strength and outgassing were enhanced for the pneumatic-oven samples and no oxidation was found after pneumatic curing due to the well-controlled oxygen content of the oven.
本文首次报道了聚酰亚胺在高压下形成的先进组装技术。聚酰亚胺在气动烘箱中形成,以评估空隙和循环时间。为了比较和评价产品性能,分别采用不同配方和不同批次的硅片固化光定水性显影剂制备了聚酰亚胺。对于气动烘箱中的配方,代替了多步热循环,可以大大减少升温时间和固化时间,从而提高产量。此外,光学显微镜(OM)结果显示,气动烘箱样品未发现空洞,而普通烘箱样品检测到大量空洞。这一创新使制造商能够减少36%的工艺周期时间。利用傅里叶变换红外光谱(FTIR)从信号强度比估计了聚酰亚胺的环化速率。用热重分析仪(TGA)和热力学分析(TMA)分析了聚酰亚胺的热稳定性和玻璃化转变温度,阐明了这些配方的性能。结果表明,气动固化的聚酰亚胺与普通烤炉固化的聚酰亚胺无明显差异。由于气淬炉的含氧量控制良好,气淬炉样品的机械强度和排气性能得到了提高,气淬炉后未发生氧化。
{"title":"Effect of Pneumatic Curing on Cycle Time Reduction and Void Suppression of Polyimide Wafer Coating","authors":"Huaneng Su, Cheng-Che Tsou, Auger Horng","doi":"10.1109/ECTC32696.2021.00333","DOIUrl":"https://doi.org/10.1109/ECTC32696.2021.00333","url":null,"abstract":"In this article, the polyimide formation at high pressure for advanced assembly technology is reported for the first time. Polyimides are formed in a pneumatic oven for evaluation of voids and cycle times. For comparison and production evaluation, the polyimides were fabricated by curing photo-definable aqueous developers with different recipes and batches of wafers, respectively. For the recipes in the pneumatic oven, instead of the multistep thermal cycles, the ramp-up time and curing time could be reduced drastically to enhance the production throughput. Furthermore, as shown in optical microscope (OM) results, no void was found for the pneumatic oven samples, and lots of voids were detected for the normal oven ones. This innovation enables manufacturers to reduce 36% of process cycle time. The polyimide cyclization rate was estimated from the signal strength ratio by using Fourier-transform infrared spectroscopy (FTIR). The thermal stability by using a thermogravimetric analyzer (TGA) and the glass transition temperature by thermomechanical analysis (TMA) was analyzed to clarify the properties of the polyimides for these recipes. The results show no obvious difference is observed between pneumatic cured polyimides and normal-oven cured ones. Mechanical strength and outgassing were enhanced for the pneumatic-oven samples and no oxidation was found after pneumatic curing due to the well-controlled oxygen content of the oven.","PeriodicalId":351817,"journal":{"name":"2021 IEEE 71st Electronic Components and Technology Conference (ECTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125750100","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Development of Cu Seed Layers in Ultra-High Aspect Ratio Through-Silicon-Vias (TSVs) with Small Diameters 小直径超高纵横比通硅孔(tsv)中铜种子层的研制
Pub Date : 2021-06-01 DOI: 10.1109/ECTC32696.2021.00300
Ziyue Zhang, Yingtao Ding, Lei Xiao, Ziru Cai, Baoyan Yang, Zhaohu Wu, Yuwen Su, Zhiming Chen
Through-silicon-vias (TSVs) with high aspect ratio are of great demand due to their advantages in high density three-dimensional (3D) integration. This paper presents a feasible and convenient process flow for fabricating insulation layer, barrier and seed layer in ultra-high aspect ratio TSVs. A conformal polyimide (PI) liner is deposited by vacuum-assisted spin coating technique. Then a uniform TiN barrier layer is fabricated using atomic layer deposition (ALD) at 270 °C. The seed layer is fabricated by sequentially applying sputtering and electroless plating of Cu. Notably, with the pre-treatment effect of sputtered Cu, the electroless plating process is able to form a continuous Cu layer in high aspect ratio vias. Dense and continuous Cu seed layers are successfully fabricated in TSVs with diameters of 3 µm and 5 µm, respectively. The aspect ratios of the TSVs are larger than 17. The minimum thickness of the Cu seed layer inside TSVs is around 100 nm, and such a continuous seed layer is beneficial to the subsequent electroplating of Cu conductor. The proposed process flow for the formation of liner, barrier and seed layer in ultra-high aspect ratio TSVs is useful for the fabrication of interconnects in heterogeneous integration of various modern electronic systems and devices.
高纵横比的硅通孔(tsv)由于其在高密度三维集成方面的优势而受到广泛的需求。本文提出了一种可行、简便的超高纵横比超导超导材料保温层、阻隔层和种子层制备工艺流程。采用真空辅助自旋镀膜技术制备了一种共形聚酰亚胺衬里。然后在270℃下采用原子层沉积(ALD)法制备了均匀的TiN势垒层。种子层是通过连续溅射和化学镀铜制备的。值得注意的是,在溅射Cu的预处理作用下,化学镀工艺能够在高纵横比的过孔中形成连续的Cu层。在直径分别为3µm和5µm的tsv中成功制备了致密和连续的Cu种子层。tsv的纵横比大于17。tsv内部的Cu种层厚度最小在100nm左右,这种连续的种层有利于后续的Cu导体电镀。本文提出的超高纵横比tsv衬里层、屏障层和种子层形成的工艺流程可用于各种现代电子系统和器件的异构集成互连的制造。
{"title":"Development of Cu Seed Layers in Ultra-High Aspect Ratio Through-Silicon-Vias (TSVs) with Small Diameters","authors":"Ziyue Zhang, Yingtao Ding, Lei Xiao, Ziru Cai, Baoyan Yang, Zhaohu Wu, Yuwen Su, Zhiming Chen","doi":"10.1109/ECTC32696.2021.00300","DOIUrl":"https://doi.org/10.1109/ECTC32696.2021.00300","url":null,"abstract":"Through-silicon-vias (TSVs) with high aspect ratio are of great demand due to their advantages in high density three-dimensional (3D) integration. This paper presents a feasible and convenient process flow for fabricating insulation layer, barrier and seed layer in ultra-high aspect ratio TSVs. A conformal polyimide (PI) liner is deposited by vacuum-assisted spin coating technique. Then a uniform TiN barrier layer is fabricated using atomic layer deposition (ALD) at 270 °C. The seed layer is fabricated by sequentially applying sputtering and electroless plating of Cu. Notably, with the pre-treatment effect of sputtered Cu, the electroless plating process is able to form a continuous Cu layer in high aspect ratio vias. Dense and continuous Cu seed layers are successfully fabricated in TSVs with diameters of 3 µm and 5 µm, respectively. The aspect ratios of the TSVs are larger than 17. The minimum thickness of the Cu seed layer inside TSVs is around 100 nm, and such a continuous seed layer is beneficial to the subsequent electroplating of Cu conductor. The proposed process flow for the formation of liner, barrier and seed layer in ultra-high aspect ratio TSVs is useful for the fabrication of interconnects in heterogeneous integration of various modern electronic systems and devices.","PeriodicalId":351817,"journal":{"name":"2021 IEEE 71st Electronic Components and Technology Conference (ECTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126147743","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
FOWLP and Si-Interposer for High-Speed Photonic Packaging 高速光子封装用FOWLP和si中间层
Pub Date : 2021-06-01 DOI: 10.1109/ECTC32696.2021.00050
Lim Teck Guan, Eva Wai Leong Ching, Jong Ming Ching, Loh Woon Leng, D. Wee, S. Bhattacharya
A FOWLP and a Si-Interposer integration platform for Electronic IC (EIC) and Photonic IC (PIC) are described here. These two platforms are capable to support high-speed integration and scalable design of the next generation Optical Engine. The integration of the PIC on the FOWLP is achieved by a simple novel solution. An additional section of the Si substrate is designed at the end of the PIC to protect the optical I/Os during the FOWLP embedding process. For the Through Si-Interposer, besides providing the EIC and PIC, it include the passive alignment feature for the fibre to the PIC assembly.
本文介绍了一种用于电子集成电路(EIC)和光子集成电路(PIC)的FOWLP和Si-Interposer集成平台。这两个平台能够支持下一代光引擎的高速集成和可扩展设计。通过一种简单新颖的解决方案实现了PIC与FOWLP的集成。在PIC的末端设计了额外的Si衬底部分,以保护FOWLP嵌入过程中的光学I/ o。对于Through Si-Interposer,除了提供EIC和PIC外,它还包括光纤到PIC组件的无源对准功能。
{"title":"FOWLP and Si-Interposer for High-Speed Photonic Packaging","authors":"Lim Teck Guan, Eva Wai Leong Ching, Jong Ming Ching, Loh Woon Leng, D. Wee, S. Bhattacharya","doi":"10.1109/ECTC32696.2021.00050","DOIUrl":"https://doi.org/10.1109/ECTC32696.2021.00050","url":null,"abstract":"A FOWLP and a Si-Interposer integration platform for Electronic IC (EIC) and Photonic IC (PIC) are described here. These two platforms are capable to support high-speed integration and scalable design of the next generation Optical Engine. The integration of the PIC on the FOWLP is achieved by a simple novel solution. An additional section of the Si substrate is designed at the end of the PIC to protect the optical I/Os during the FOWLP embedding process. For the Through Si-Interposer, besides providing the EIC and PIC, it include the passive alignment feature for the fibre to the PIC assembly.","PeriodicalId":351817,"journal":{"name":"2021 IEEE 71st Electronic Components and Technology Conference (ECTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129482207","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Novel Characterization Method of Chip Level Hybrid Bonding Strength 芯片级杂化键合强度表征新方法
Pub Date : 2021-06-01 DOI: 10.1109/ECTC32696.2021.00277
Juno Kim, K. Lim, S. Hahn, Mingu Lee, D. Rhee
A new characterization method for interfacial adhesion between die to die hybrid bonding interface at chip level is developed to evaluate and analyze the adhesion strength. Die to wafer or die to die hybrid bonding and stacking is very promising scheme for the next 2.5D and 3DIC Heterogeneous. However, there is no proven methodology or guideline to characterize the die level hybrid bonding strength which is very much dependent on the surface treatment process parameters, the physical and chemical characteristics of the bonding interfaces and particles and so on. To improve the quality and reliability of the die level hybrid bonding interface, it is crucial to identify well defined characterization methodology, especially for the thin die case which is no more applicable by using conventionally used die shear test method. In this paper, the authors developed novel characterization method of die level hybrid bonded interface strength by applying the single cantilever method using thin die with a thickness of $100 mumathrm{m}$. To optimize the test specimen design and testing condition, the finite element analysis (FEA) is performed. Using the optimized methodology, a series of experiments for characterizing the die to die bonding strength are conducted at various load-point lengths, bonding lengths and annealing temperatures. Based on the FEA and experimental data, the limitations and prospects of the developed characterization method are discussed in detail.
提出了一种新的芯片级混合键合界面粘接性能表征方法,用于评价和分析粘接强度。在未来的2.5D和3DIC异构化中,晶圆间或晶圆间的混合键合和堆叠是非常有前途的方案。然而,目前还没有成熟的方法或指南来表征模具级混合键合强度,这在很大程度上取决于表面处理工艺参数、键合界面和颗粒的物理和化学特性等。为了提高模具级混合键合界面的质量和可靠性,确定明确的表征方法至关重要,特别是对于薄模情况,传统的模具剪切试验方法已不再适用。本文采用厚度为$100 mumathrm{m}$的薄模具,采用单悬臂法开发了新的模具级杂化粘结界面强度表征方法。为了优化试件设计和试验条件,进行了有限元分析。利用优化的方法,在不同的载荷点长度、键合长度和退火温度下进行了一系列表征模具与模具结合强度的实验。基于有限元分析和实验数据,详细讨论了该表征方法的局限性和前景。
{"title":"Novel Characterization Method of Chip Level Hybrid Bonding Strength","authors":"Juno Kim, K. Lim, S. Hahn, Mingu Lee, D. Rhee","doi":"10.1109/ECTC32696.2021.00277","DOIUrl":"https://doi.org/10.1109/ECTC32696.2021.00277","url":null,"abstract":"A new characterization method for interfacial adhesion between die to die hybrid bonding interface at chip level is developed to evaluate and analyze the adhesion strength. Die to wafer or die to die hybrid bonding and stacking is very promising scheme for the next 2.5D and 3DIC Heterogeneous. However, there is no proven methodology or guideline to characterize the die level hybrid bonding strength which is very much dependent on the surface treatment process parameters, the physical and chemical characteristics of the bonding interfaces and particles and so on. To improve the quality and reliability of the die level hybrid bonding interface, it is crucial to identify well defined characterization methodology, especially for the thin die case which is no more applicable by using conventionally used die shear test method. In this paper, the authors developed novel characterization method of die level hybrid bonded interface strength by applying the single cantilever method using thin die with a thickness of $100 mumathrm{m}$. To optimize the test specimen design and testing condition, the finite element analysis (FEA) is performed. Using the optimized methodology, a series of experiments for characterizing the die to die bonding strength are conducted at various load-point lengths, bonding lengths and annealing temperatures. Based on the FEA and experimental data, the limitations and prospects of the developed characterization method are discussed in detail.","PeriodicalId":351817,"journal":{"name":"2021 IEEE 71st Electronic Components and Technology Conference (ECTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128509414","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
2021 IEEE 71st Electronic Components and Technology Conference (ECTC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1