首页 > 最新文献

2010 11th International Symposium on Quality Electronic Design (ISQED)最新文献

英文 中文
Accurate multi-specification DPPM estimation using layered sampling based simulation 精确的多规格DPPM估计使用分层采样为基础的模拟
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450446
E. Yilmaz
Unreasonably long test time and test cost forces the utilization of test compaction methods in production line. Test compaction methods reduce the test cost at the expense of degrading the test quality. When test compaction is used, it is essential to estimate the resulting test quality. Traditional Monte-Carlo simulation devotes most of the effort sampling the median region of the process parameters. However, defective escapes are generally marginal and accurate estimation of defective parts per million (DPPM) requires extensive simulation, especially when DPPM level is low. In this work, we aim at reducing the number of simulations required to estimate DPPM accurately through a two-step methodology exploiting the layered structure of process variation. In the first step, we generate an essential experiment set using a modified version of Taguchi's design of experiment method. We optimize this experiment set for accuracy in order to get a minimal set of experiments. In the second step, we emulate the low level process variation on the optimized essential experiment set. Instead of using traditional Monte-Carlo sampling method, employing layered sampling of process parameters enable us to achieve an accurate DPPMvalue for a substantially reduced number of simulations.
不合理的测试时间和测试成本迫使测试压实方法在生产线上的应用。测试压实方法以降低测试质量为代价来降低测试成本。当使用测试压实时,评估结果测试质量是必要的。传统的蒙特卡罗仿真将大部分精力用于对过程参数的中值区域进行采样。然而,缺陷逃逸通常是边缘性的,准确估计百万分率缺陷(DPPM)需要大量的模拟,特别是当DPPM水平较低时。在这项工作中,我们的目标是通过利用过程变化的分层结构的两步方法减少准确估计DPPM所需的模拟次数。在第一步中,我们使用田口的实验设计方法的改进版本生成一个基本的实验集。为了得到最小的实验集,我们优化了这个实验集的准确性。在第二步中,我们在优化的基本实验集上模拟低级过程变化。而不是使用传统的蒙特卡罗采样方法,采用分层采样的过程参数,使我们能够获得准确的dppm值,大大减少了模拟次数。
{"title":"Accurate multi-specification DPPM estimation using layered sampling based simulation","authors":"E. Yilmaz","doi":"10.1109/ISQED.2010.5450446","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450446","url":null,"abstract":"Unreasonably long test time and test cost forces the utilization of test compaction methods in production line. Test compaction methods reduce the test cost at the expense of degrading the test quality. When test compaction is used, it is essential to estimate the resulting test quality. Traditional Monte-Carlo simulation devotes most of the effort sampling the median region of the process parameters. However, defective escapes are generally marginal and accurate estimation of defective parts per million (DPPM) requires extensive simulation, especially when DPPM level is low. In this work, we aim at reducing the number of simulations required to estimate DPPM accurately through a two-step methodology exploiting the layered structure of process variation. In the first step, we generate an essential experiment set using a modified version of Taguchi's design of experiment method. We optimize this experiment set for accuracy in order to get a minimal set of experiments. In the second step, we emulate the low level process variation on the optimized essential experiment set. Instead of using traditional Monte-Carlo sampling method, employing layered sampling of process parameters enable us to achieve an accurate DPPMvalue for a substantially reduced number of simulations.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"49 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116200622","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Asymmetric 6T SRAM with two-phase write and split bitline differential sensing for low voltage operation 非对称6T SRAM,具有两相写入和分割位线差分传感,用于低压操作
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450400
Satyanand Nalam, V. Chandra, C. Pietrzyk, R. Aitken, B. Calhoun
This paper describes an asymmetric single-ended 6T SRAM bitcell that improves both Read Static Noise Margin (RSNM) and Write Noise Margin (WNM) for the same bitcell area as a conventional symmetric 6T. This improvement is achieved using a single VDD, without employing assist techniques that require multiple voltages. The improvement in noise margins significantly improves the low-voltage robustness and consequently the minimum operating voltage of the SRAM (VMIN). Single-ended write is accomplished in two phases using dual word-lines. Finally, we propose a differential sensing scheme using a weak reference cell to read the single-ended 6T. A combination of reduced bitline capacitance and increased drive current ensure read delay comparable to conventional differential sensing, for the same bitcell area.
本文描述了一种非对称单端6T SRAM位单元,它在与传统对称6T相同的位单元面积上提高了读静态噪声余量(RSNM)和写噪声余量(WNM)。这种改进是使用单个VDD实现的,而不需要使用需要多个电压的辅助技术。噪声裕度的改善显著提高了SRAM的低压稳健性,从而提高了SRAM的最低工作电压(VMIN)。单端写入使用双字行分两个阶段完成。最后,我们提出了一种使用弱参考单元读取单端6T的差分传感方案。降低位线电容和增加驱动电流的组合确保了与传统差分传感相当的读取延迟,对于相同的位单元面积。
{"title":"Asymmetric 6T SRAM with two-phase write and split bitline differential sensing for low voltage operation","authors":"Satyanand Nalam, V. Chandra, C. Pietrzyk, R. Aitken, B. Calhoun","doi":"10.1109/ISQED.2010.5450400","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450400","url":null,"abstract":"This paper describes an asymmetric single-ended 6T SRAM bitcell that improves both Read Static Noise Margin (RSNM) and Write Noise Margin (WNM) for the same bitcell area as a conventional symmetric 6T. This improvement is achieved using a single VDD, without employing assist techniques that require multiple voltages. The improvement in noise margins significantly improves the low-voltage robustness and consequently the minimum operating voltage of the SRAM (VMIN). Single-ended write is accomplished in two phases using dual word-lines. Finally, we propose a differential sensing scheme using a weak reference cell to read the single-ended 6T. A combination of reduced bitline capacitance and increased drive current ensure read delay comparable to conventional differential sensing, for the same bitcell area.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"3 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124872292","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 21
Early-stage determination of current-density criticality in interconnects 互连中电流密度临界的早期测定
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450505
Göran Jerke, J. Lienig
Excessive current density within interconnects is a major concern for IC designers, which if not effectively mitigated leads to electromigration and electrical overstress. This is increasingly a problem in modern ICs due to smaller feature sizes and higher currents associated with lower supply voltages. Detailed analysis of all interconnect nets is both time-consuming and cannot be done until physical design is complete, when it is too late for easy fixes. To address these problems, we introduce (i) a powerful terminal current model and (ii) an efficient methodology to determine the worst-case bounds on segment currents of the interconnect. This early-stage calculation enables nets to be separated into critical and non-critical sets; only the set of critical nets, which is typically considerably smaller, requires subsequent special consideration during physical design and layout verification due to current density design limits. The presented algorithms are fast enough to run on every net, and work with known and unknown net topology, leading to several practical uses, such as (i) the pre-layout identification of nets that are potentially troublesome and may need sizing, (ii) as filter to avoid time-consuming detailed current-density analysis of net layouts, and (iii) to evaluate the effect of interconnect temperature and process changes on the number and distribution of current-density-critical nets.
互连内部的电流密度过大是IC设计人员主要关注的问题,如果不能有效地缓解,将导致电迁移和电气过度应力。由于更小的特征尺寸和更高的电流与更低的电源电压相关,这在现代ic中日益成为一个问题。所有互连网络的详细分析既耗时又无法完成,直到物理设计完成,当它是太迟了,简单的修复。为了解决这些问题,我们引入了(i)一个强大的终端电流模型和(ii)一种有效的方法来确定互连段电流的最坏情况界限。这种早期计算可以将网络划分为关键和非关键集;由于当前密度设计的限制,只有一组通常相当小的关键网在物理设计和布局验证期间需要后续特别考虑。所提出的算法足够快,可以在每个网络上运行,并与已知和未知的网络拓扑一起工作,从而导致几个实际用途,例如(i)潜在麻烦和可能需要尺寸的网络的预先布局识别,(ii)作为过滤器,避免对网络布局进行耗时的详细电流密度分析,以及(iii)评估互连温度和工艺变化对电流密度关键网络的数量和分布的影响。
{"title":"Early-stage determination of current-density criticality in interconnects","authors":"Göran Jerke, J. Lienig","doi":"10.1109/ISQED.2010.5450505","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450505","url":null,"abstract":"Excessive current density within interconnects is a major concern for IC designers, which if not effectively mitigated leads to electromigration and electrical overstress. This is increasingly a problem in modern ICs due to smaller feature sizes and higher currents associated with lower supply voltages. Detailed analysis of all interconnect nets is both time-consuming and cannot be done until physical design is complete, when it is too late for easy fixes. To address these problems, we introduce (i) a powerful terminal current model and (ii) an efficient methodology to determine the worst-case bounds on segment currents of the interconnect. This early-stage calculation enables nets to be separated into critical and non-critical sets; only the set of critical nets, which is typically considerably smaller, requires subsequent special consideration during physical design and layout verification due to current density design limits. The presented algorithms are fast enough to run on every net, and work with known and unknown net topology, leading to several practical uses, such as (i) the pre-layout identification of nets that are potentially troublesome and may need sizing, (ii) as filter to avoid time-consuming detailed current-density analysis of net layouts, and (iii) to evaluate the effect of interconnect temperature and process changes on the number and distribution of current-density-critical nets.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"81 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122080371","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
Antenna Violation Avoidance/Fixing for X-clock routing x时钟路由的天线冲突避免/修复
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450525
Chia-Chun Tsai, Chung-Chieh Kuo, Lin-Jeng Gu, Trong-Yen Lee
As the IC fabrication technology gets into the nanometer era, antenna effect plays an important role in determining the yield and reliability of VLSI circuits. This work proposes a discharge-path-based antenna effect detection method. Based on the proposed detection method, two novel jumper insertion and layer assignment algorithms are presented for fixing antenna violations. Additionally, via delay is considered in delay calculation, and wire sizing technique is applied for clock skew compensation. Given an X-architecture clock tree with n clock sinks, layer configuration, and the upper bound for antenna effect, the proposed PADJILA algorithm runs in O(n2) to achieve antenna violation free. Experimental results on benchmarks show that our work significantly outperforms than the existing works.
随着集成电路制造技术进入纳米时代,天线效应对超大规模集成电路的良率和可靠性起着重要的决定作用。本文提出了一种基于放电路径的天线效应检测方法。基于所提出的检测方法,提出了两种新的跳线插入和层分配算法来固定天线冲突。此外,在延迟计算中考虑了通过延迟,并采用线径技术进行时钟偏差补偿。给定具有n个时钟接收器的x结构时钟树、层构型和天线效应的上界,本文提出的PADJILA算法运行时间为0 (n2),实现无天线冲突。在基准测试上的实验结果表明,我们的工作明显优于现有的工作。
{"title":"Antenna Violation Avoidance/Fixing for X-clock routing","authors":"Chia-Chun Tsai, Chung-Chieh Kuo, Lin-Jeng Gu, Trong-Yen Lee","doi":"10.1109/ISQED.2010.5450525","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450525","url":null,"abstract":"As the IC fabrication technology gets into the nanometer era, antenna effect plays an important role in determining the yield and reliability of VLSI circuits. This work proposes a discharge-path-based antenna effect detection method. Based on the proposed detection method, two novel jumper insertion and layer assignment algorithms are presented for fixing antenna violations. Additionally, via delay is considered in delay calculation, and wire sizing technique is applied for clock skew compensation. Given an X-architecture clock tree with n clock sinks, layer configuration, and the upper bound for antenna effect, the proposed PADJILA algorithm runs in O(n2) to achieve antenna violation free. Experimental results on benchmarks show that our work significantly outperforms than the existing works.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"15 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122127524","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A novel low voltage current compensated high performance current mirror/NIC 一种新型的低电压电流补偿型高性能电流镜/NIC
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450537
K. Monfaredi, H. F. Baghtash, S. J. Azhari
In this paper a novel high output impedance, low input impedance, wide bandwidth, very simple mirror/source structure with input and output voltage requirements less than that of a simple current mirror is presented. It can be also used as variable negative impedance converter (variable-NIC) by modifying amplifier transistors' aspect ratios. The circuit's principle of operation is discussed and compared to simple and low voltage cascode (LVC) current mirrors. Working with power supplies less than 1volt, the proposed circuit provides output impedance greater than LVC current mirror. Such outstanding features of this current mirror as high output impedance∼25.3M, low input impedance∼44, wide bandwidth∼498MHz, low input voltage ∼ 415mV, low output voltage ∼ 149mV and low current transfer error ∼1.3% (all at 10µA) makes it an outstanding choice for high performance applications. Simulation results in BSIM 0.35µm CMOS technology with Hspice are given in comparison with simple, and LVC current mirrors to verify and validate the performance of the proposed current mirror/NIC.
本文提出了一种新型的高输出阻抗、低输入阻抗、宽带宽、非常简单的镜像/源结构,其输入和输出电压要求低于简单的电流镜像。它也可以作为可变负阻抗转换器(可变nic)通过修改放大器晶体管的宽高比。讨论了电路的工作原理,并与简单级联电流镜和低压级联电流镜进行了比较。在小于1伏的电源下工作,该电路提供的输出阻抗大于LVC电流镜。该电流反射镜具有高输出阻抗~ 25.3M、低输入阻抗~ 44、宽带宽~ 498MHz、低输入电压~ 415mV、低输出电压~ 149mV和低电流传输误差~ 1.3%(均为10 μ A)等突出特性,使其成为高性能应用的绝佳选择。通过Hspice在BSIM 0.35µm CMOS技术上的仿真结果,与简单、LVC电流镜进行了对比,验证了所提出的电流镜/NIC的性能。
{"title":"A novel low voltage current compensated high performance current mirror/NIC","authors":"K. Monfaredi, H. F. Baghtash, S. J. Azhari","doi":"10.1109/ISQED.2010.5450537","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450537","url":null,"abstract":"In this paper a novel high output impedance, low input impedance, wide bandwidth, very simple mirror/source structure with input and output voltage requirements less than that of a simple current mirror is presented. It can be also used as variable negative impedance converter (variable-NIC) by modifying amplifier transistors' aspect ratios. The circuit's principle of operation is discussed and compared to simple and low voltage cascode (LVC) current mirrors. Working with power supplies less than 1volt, the proposed circuit provides output impedance greater than LVC current mirror. Such outstanding features of this current mirror as high output impedance∼25.3M, low input impedance∼44, wide bandwidth∼498MHz, low input voltage ∼ 415mV, low output voltage ∼ 149mV and low current transfer error ∼1.3% (all at 10µA) makes it an outstanding choice for high performance applications. Simulation results in BSIM 0.35µm CMOS technology with Hspice are given in comparison with simple, and LVC current mirrors to verify and validate the performance of the proposed current mirror/NIC.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"36 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122734371","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 15
Thermal-aware job allocation and scheduling for three dimensional chip multiprocessor 三维芯片多处理器的热感知作业分配与调度
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450547
Shaobo Liu, Jingyi Zhang, Qing Wu, Qinru Qiu
In this paper, we propose a thermal-aware job allocation and scheduling algorithm for three-dimensional (3D) chip multiprocessor (CMP). The proposed algorithm assigns hot jobs to the cores close to the heat sink and cool jobs to the cores far from the heat sink, subject to thermal constraints. The direct effect of the proposed algorithm on a 3D-CMP system is that, the heat from hot jobs is removed off the chip faster than the temperature-aware methods. Therefore we are able to keep the chip cooler and in better thermal condition. Experimental results show that, comparing to the temperature-aware method, our algorithm achieves: 1) less hot spots; 2) better performance; 3) smaller temporal temperature variation; 4) lower peak temperature. The proposed algorithm reduces hot spots by more than 95% when workload contains cool jobs; and by 36% when workload does not contain cool jobs. It also boosts the system performance by 5% on average under various workloads. The temporal temperature variation is reduced by 60% and its standard deviation is decreased by 50%. In addition, the proposed algorithm achieves 1.8°C ∼5°C reduction in peak temperature.
本文提出了一种三维(3D)芯片多处理器(CMP)的热感知作业分配和调度算法。该算法将热作业分配给靠近散热器的核心,将冷作业分配给远离散热器的核心,并受到热约束。该算法对3D-CMP系统的直接影响是,热作业产生的热量比温度感知方法更快地从芯片上移除。因此,我们能够保持芯片更冷,并在更好的热条件。实验结果表明,与温度感知方法相比,我们的算法实现了:1)热点较少;2)性能更好;3)时间温度变化较小;4)降低峰值温度。当工作负载中包含冷作业时,该算法减少了95%以上的热点;当工作中没有很酷的工作时,这一比例为36%。它还可以在各种工作负载下平均提高5%的系统性能。时间温度变化减小了60%,标准差减小了50%。此外,该算法可将峰值温度降低1.8°C ~ 5°C。
{"title":"Thermal-aware job allocation and scheduling for three dimensional chip multiprocessor","authors":"Shaobo Liu, Jingyi Zhang, Qing Wu, Qinru Qiu","doi":"10.1109/ISQED.2010.5450547","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450547","url":null,"abstract":"In this paper, we propose a thermal-aware job allocation and scheduling algorithm for three-dimensional (3D) chip multiprocessor (CMP). The proposed algorithm assigns hot jobs to the cores close to the heat sink and cool jobs to the cores far from the heat sink, subject to thermal constraints. The direct effect of the proposed algorithm on a 3D-CMP system is that, the heat from hot jobs is removed off the chip faster than the temperature-aware methods. Therefore we are able to keep the chip cooler and in better thermal condition. Experimental results show that, comparing to the temperature-aware method, our algorithm achieves: 1) less hot spots; 2) better performance; 3) smaller temporal temperature variation; 4) lower peak temperature. The proposed algorithm reduces hot spots by more than 95% when workload contains cool jobs; and by 36% when workload does not contain cool jobs. It also boosts the system performance by 5% on average under various workloads. The temporal temperature variation is reduced by 60% and its standard deviation is decreased by 50%. In addition, the proposed algorithm achieves 1.8°C ∼5°C reduction in peak temperature.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"6 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123813871","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 42
A framework for logic-aware layout analysis 用于逻辑感知布局分析的框架
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450415
Patrick Gibson, Ziyang Lu, F. Pikus, Sridhar Srinivasan
In this paper, we explain a new EDA tool framework that extends the reach of Electrical DFM analysis across cross-domain applications by providing the ability to do layout analysis and logical analysis of the schematics in context. To demonstrate the effectiveness and the flexibility of the integrated environment this new framework provides, we show several real-time applications of layout verification based on the logic analysis of the circuit, where the logic analysis is performed by applying the correct design rules.
在本文中,我们解释了一个新的EDA工具框架,它通过提供在上下文中对原理图进行布局分析和逻辑分析的能力,扩展了跨域应用程序的电气DFM分析的范围。为了展示这种新框架提供的集成环境的有效性和灵活性,我们展示了几种基于电路逻辑分析的布局验证的实时应用,其中逻辑分析是通过应用正确的设计规则来执行的。
{"title":"A framework for logic-aware layout analysis","authors":"Patrick Gibson, Ziyang Lu, F. Pikus, Sridhar Srinivasan","doi":"10.1109/ISQED.2010.5450415","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450415","url":null,"abstract":"In this paper, we explain a new EDA tool framework that extends the reach of Electrical DFM analysis across cross-domain applications by providing the ability to do layout analysis and logical analysis of the schematics in context. To demonstrate the effectiveness and the flexibility of the integrated environment this new framework provides, we show several real-time applications of layout verification based on the logic analysis of the circuit, where the logic analysis is performed by applying the correct design rules.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"114 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124558499","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 15
Variation-aware speed binning of multi-core processors 多核处理器的变化感知速度分组
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450442
J. Sartori, A. Pant, Rakesh Kumar, Puneet Gupta
Number of cores per multi-core processor die, as well as variation between the maximum operating frequency of individual cores, is rapidly increasing. This makes performance binning of multi-core processors a non-trivial task. In this paper, we study, for the first time, multi-core binning metrics and strategies to evaluate them efficiently. We discuss two multi-core binning metrics with high correlation to processor throughput for different types of workloads and different process variation scenarios. More importantly, we demonstrate the importance of leveraging variation model data in the binning process to significantly reduce the binning overhead with a negligible loss in binning quality. For example, we demonstrate that the performance binning overhead of a 64-core processor can be decreased by 51% and 36% using the proposed variation-aware core clustering and curve fitting strategies respectively. Experiments were performed using a manufacturing variation model based on real 65nm silicon data.
每个多核处理器芯片的核心数量,以及各个核心最大工作频率之间的变化,正在迅速增加。这使得多核处理器的性能分类成为一项重要的任务。在本文中,我们首次研究了多核分箱的度量和策略来有效地评价它们。对于不同类型的工作负载和不同的进程变化场景,我们讨论了两个与处理器吞吐量高度相关的多核分组指标。更重要的是,我们证明了在分类过程中利用变化模型数据的重要性,以显着减少分类开销,而对分类质量的损失可以忽略不计。例如,我们证明,使用所提出的变化感知核心聚类和曲线拟合策略,64核处理器的性能分组开销可以分别降低51%和36%。实验采用基于65nm硅实际数据的制造变化模型进行。
{"title":"Variation-aware speed binning of multi-core processors","authors":"J. Sartori, A. Pant, Rakesh Kumar, Puneet Gupta","doi":"10.1109/ISQED.2010.5450442","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450442","url":null,"abstract":"Number of cores per multi-core processor die, as well as variation between the maximum operating frequency of individual cores, is rapidly increasing. This makes performance binning of multi-core processors a non-trivial task. In this paper, we study, for the first time, multi-core binning metrics and strategies to evaluate them efficiently. We discuss two multi-core binning metrics with high correlation to processor throughput for different types of workloads and different process variation scenarios. More importantly, we demonstrate the importance of leveraging variation model data in the binning process to significantly reduce the binning overhead with a negligible loss in binning quality. For example, we demonstrate that the performance binning overhead of a 64-core processor can be decreased by 51% and 36% using the proposed variation-aware core clustering and curve fitting strategies respectively. Experiments were performed using a manufacturing variation model based on real 65nm silicon data.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"125 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131206072","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 37
Is built-in logic redundancy ready for prime time? 内置的逻辑冗余准备好了吗?
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450435
Chris Allsup
With each new process generation, it becomes ever more challenging to maintain high yields of integrated circuits. Progressively lower yields potentially undermine the profits of semiconductor companies across all industry segments. Embedding redundant logic into designs can improve product yields, but is this economically viable for most systems-on-chip? This paper attempts to answer this fundamental question. After describing an example architecture for built-in logic redundancy (BILR), we examine precisely how the BILR design and test parameters affect the area overhead, test execution time and yield of the redundant system. After conveying the cost model, we present analysis results showing that redundancy could be cost-effective, depending on a number of cost infrastructure variables that include the parameters of the BILR system itself.
随着每一代新工艺的产生,保持集成电路的高产量变得越来越具有挑战性。逐渐降低的产量可能会损害所有行业半导体公司的利润。在设计中嵌入冗余逻辑可以提高产品产量,但这对大多数片上系统来说在经济上可行吗?本文试图回答这个基本问题。在描述了一个内置逻辑冗余(BILR)的示例架构之后,我们精确地检查了BILR设计和测试参数如何影响冗余系统的面积开销、测试执行时间和产量。在传达成本模型之后,我们提出的分析结果表明,冗余可能具有成本效益,这取决于包括BILR系统本身参数在内的许多成本基础设施变量。
{"title":"Is built-in logic redundancy ready for prime time?","authors":"Chris Allsup","doi":"10.1109/ISQED.2010.5450435","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450435","url":null,"abstract":"With each new process generation, it becomes ever more challenging to maintain high yields of integrated circuits. Progressively lower yields potentially undermine the profits of semiconductor companies across all industry segments. Embedding redundant logic into designs can improve product yields, but is this economically viable for most systems-on-chip? This paper attempts to answer this fundamental question. After describing an example architecture for built-in logic redundancy (BILR), we examine precisely how the BILR design and test parameters affect the area overhead, test execution time and yield of the redundant system. After conveying the cost model, we present analysis results showing that redundancy could be cost-effective, depending on a number of cost infrastructure variables that include the parameters of the BILR system itself.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"43 12","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"120866846","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Adaptive HCI-aware power gating structure 自适应hci感知功率门控结构
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450418
Kyung Ki Kim, Haiqing Nan, K. Choi
This paper presents the hot-carrier-injection (HCI)-induced delay degradation of the power gating structure as well as the HCI impact on critical issues in the power gating, such as leakage power, wake-up time, and wake-up rush-current. Considering this HCI impact, a novel adaptive HCI-aware power gating structure is proposed to compensate for the performance loss and the increased wake-up time of the power gating structures induced by the HCI effect. The proposed structure consists of variable width footers based on the two-pass power gating and a new HCI monitoring circuit, which is imperative for a good adaptive technique. The simulation results are compared to those of power gating without the adaptive technique and show that both the circuit-delay and wake-up time dependence of the power gating structure on the HCI stress is minimized with only 2% and 3% increase, respectively while keeping small leakage power and rush-current. The proposed technique is evaluated using ISCAS85 benchmark circuits which are designed using 45nm CMOS predictive technology model.
本文介绍了热载流子注入(HCI)引起的功率门控结构的延迟退化,以及HCI对功率门控中泄漏功率、唤醒时间和唤醒涌流等关键问题的影响。考虑到HCI的影响,提出了一种新的自适应HCI感知功率门结构,以补偿HCI效应引起的性能损失和功率门结构唤醒时间的增加。该结构由基于两路功率门控的可变宽度脚和一种新的HCI监控电路组成,这对于良好的自适应技术是必不可少的。仿真结果表明,与未采用自适应技术的功率门控相比,功率门控结构的电路延迟和唤醒时间对HCI应力的依赖性最小,分别仅增加2%和3%,同时保持较小的漏功率和涌流。采用45纳米CMOS预测技术模型设计的ISCAS85基准电路对该技术进行了评估。
{"title":"Adaptive HCI-aware power gating structure","authors":"Kyung Ki Kim, Haiqing Nan, K. Choi","doi":"10.1109/ISQED.2010.5450418","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450418","url":null,"abstract":"This paper presents the hot-carrier-injection (HCI)-induced delay degradation of the power gating structure as well as the HCI impact on critical issues in the power gating, such as leakage power, wake-up time, and wake-up rush-current. Considering this HCI impact, a novel adaptive HCI-aware power gating structure is proposed to compensate for the performance loss and the increased wake-up time of the power gating structures induced by the HCI effect. The proposed structure consists of variable width footers based on the two-pass power gating and a new HCI monitoring circuit, which is imperative for a good adaptive technique. The simulation results are compared to those of power gating without the adaptive technique and show that both the circuit-delay and wake-up time dependence of the power gating structure on the HCI stress is minimized with only 2% and 3% increase, respectively while keeping small leakage power and rush-current. The proposed technique is evaluated using ISCAS85 benchmark circuits which are designed using 45nm CMOS predictive technology model.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"42 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116293647","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
期刊
2010 11th International Symposium on Quality Electronic Design (ISQED)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1