首页 > 最新文献

2010 11th International Symposium on Quality Electronic Design (ISQED)最新文献

英文 中文
Modeling and analysis of III–V logic FETs for devices and circuits: Sub-22nm technology III–V SRAM cell design 器件和电路的III-V级逻辑场效应管的建模和分析:Sub-22nm技术III-V SRAM单元设计
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450553
Saeroonter Oh, Jeongha Park, S. Wong, H. Wong
A compact model of III–V HFETs is developed for digital logic circuit applications such as a 6T-SRAM cell. We study sub-22nm technology III–V SRAM circuit design via III–V MOSFETs with thin high-k dielectric for low gate tunneling current, and optimized extrinsic structure for minimum parasitic capacitance. We investigate the drawbacks of a weak PMOS device in a SRAM cell and propose a minimum requirement for III–V PMOS strength for SRAM to be viable.
一种紧凑的III-V型hfet模型用于数字逻辑电路应用,如6T-SRAM单元。我们研究了亚22nm技术III-V SRAM电路设计,通过III-V高k薄介质mosfet实现低栅极隧道电流,并优化了外部结构以实现最小寄生电容。我们研究了SRAM单元中弱PMOS器件的缺点,并提出了SRAM可行的III-V PMOS强度的最低要求。
{"title":"Modeling and analysis of III–V logic FETs for devices and circuits: Sub-22nm technology III–V SRAM cell design","authors":"Saeroonter Oh, Jeongha Park, S. Wong, H. Wong","doi":"10.1109/ISQED.2010.5450553","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450553","url":null,"abstract":"A compact model of III–V HFETs is developed for digital logic circuit applications such as a 6T-SRAM cell. We study sub-22nm technology III–V SRAM circuit design via III–V MOSFETs with thin high-k dielectric for low gate tunneling current, and optimized extrinsic structure for minimum parasitic capacitance. We investigate the drawbacks of a weak PMOS device in a SRAM cell and propose a minimum requirement for III–V PMOS strength for SRAM to be viable.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"4 10","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131436725","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Accelerating trace computation in post-silicon debug 加速后硅调试中的跟踪计算
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450450
Johnny J. W. Kuan, S. Wilton, Tor M. Aamodt
Post-silicon debug comprises a significant and highly variable fraction of the total development time for large chip designs. To accelerate post-silicon debug, BackSpace [1, 2] employs on-chip monitoring circuitry and off-chip formal analysis to provide a trace of states that lead up to a crash state. BackSpace employs repeated runs of the integrated circuit being debugged, which can be time consuming. This paper shows that correlation information characterizing the application running on the hardware up to the crash state can reduce the number of runs of the chip by up to 51%.
对于大型芯片设计,硅后调试占总开发时间的很大一部分,而且变化很大。为了加速后硅调试,BackSpace[1,2]采用片上监控电路和片外形式分析来提供导致崩溃状态的状态跟踪。BackSpace使用反复运行集成电路进行调试,这可能很耗时。本文表明,描述应用程序在硬件上运行到崩溃状态的相关信息可以将芯片的运行次数减少多达51%。
{"title":"Accelerating trace computation in post-silicon debug","authors":"Johnny J. W. Kuan, S. Wilton, Tor M. Aamodt","doi":"10.1109/ISQED.2010.5450450","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450450","url":null,"abstract":"Post-silicon debug comprises a significant and highly variable fraction of the total development time for large chip designs. To accelerate post-silicon debug, BackSpace [1, 2] employs on-chip monitoring circuitry and off-chip formal analysis to provide a trace of states that lead up to a crash state. BackSpace employs repeated runs of the integrated circuit being debugged, which can be time consuming. This paper shows that correlation information characterizing the application running on the hardware up to the crash state can reduce the number of runs of the chip by up to 51%.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"68 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114384968","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Methodology to ensure circuit robustness and exceptional silicon quality while proliferating designs across process revisions with high productivity 确保电路稳健性和卓越的硅质量的方法,同时在高生产率的过程修订中激增设计
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450532
N. Srimal
This paper describes methodologies developed to ensure circuit robustness and silicon quality when a high performance microprocessor design is proliferated across process revisions. The paper describes innovative techniques and solutions based on data obtained from post silicon experiments and simulations that can be advantageous to the designers. The paper focuses on the areas of leakage control, noise tolerance, min-delay analysis.
本文描述了开发的方法,以确保电路稳健性和硅的质量,当一个高性能微处理器设计是跨越工艺修订扩散。本文描述了基于从硅后实验和模拟中获得的数据的创新技术和解决方案,这些技术和解决方案对设计人员有利。本文主要从泄漏控制、噪声容忍、最小延迟分析等方面进行了研究。
{"title":"Methodology to ensure circuit robustness and exceptional silicon quality while proliferating designs across process revisions with high productivity","authors":"N. Srimal","doi":"10.1109/ISQED.2010.5450532","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450532","url":null,"abstract":"This paper describes methodologies developed to ensure circuit robustness and silicon quality when a high performance microprocessor design is proliferated across process revisions. The paper describes innovative techniques and solutions based on data obtained from post silicon experiments and simulations that can be advantageous to the designers. The paper focuses on the areas of leakage control, noise tolerance, min-delay analysis.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"34 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124428984","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Slack allocation for yield improvement in NoC-based MPSoCs 基于noc的mpsoc良率改进的松弛分配
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450496
B. Meyer, Adam S. Hartman, D. E. Thomas
Yield losses due to a combination of random, systematic and parametric defects are rising as manufacturing processes scale to smaller features sizes. In embedded systems-on-chip, yield can be increased using slack—under-utilization in execution and storage resources—so that when components are defective, data and tasks can be re-mapped and re-scheduled. For any given system, the design space of possible slack allocations is both large and complex, consisting of every possible way to replace each component in the initial system with another from the component library. However, based on the observation that useful slack is often quantized, we have developed an approach that effectively and efficiently allocates execution and storage slack to jointly optimize system yield and cost. While exploring less than 1.62% of the slack allocation design space, our approach consistently outperforms alternative slack allocation techniques to find sets of designs within 4.27% of the yield-cost Pareto-optimal front.
由于随机、系统和参数缺陷的组合导致的良率损失随着制造工艺规模的缩小而增加。在嵌入式片上系统中,可以使用松弛(执行和存储资源的利用率不足)来提高产量,这样当组件有缺陷时,数据和任务可以重新映射和重新调度。对于任何给定的系统,可能的闲置分配的设计空间既大又复杂,包括用组件库中的另一个组件替换初始系统中的每个组件的每种可能方法。然而,基于对有用空闲常常被量化的观察,我们开发了一种有效且高效地分配执行和存储空闲的方法,以共同优化系统良率和成本。在探索不到1.62%的闲置分配设计空间时,我们的方法始终优于其他闲置分配技术,在收益-成本帕累托最优前沿的4.27%范围内找到设计集。
{"title":"Slack allocation for yield improvement in NoC-based MPSoCs","authors":"B. Meyer, Adam S. Hartman, D. E. Thomas","doi":"10.1109/ISQED.2010.5450496","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450496","url":null,"abstract":"Yield losses due to a combination of random, systematic and parametric defects are rising as manufacturing processes scale to smaller features sizes. In embedded systems-on-chip, yield can be increased using slack—under-utilization in execution and storage resources—so that when components are defective, data and tasks can be re-mapped and re-scheduled. For any given system, the design space of possible slack allocations is both large and complex, consisting of every possible way to replace each component in the initial system with another from the component library. However, based on the observation that useful slack is often quantized, we have developed an approach that effectively and efficiently allocates execution and storage slack to jointly optimize system yield and cost. While exploring less than 1.62% of the slack allocation design space, our approach consistently outperforms alternative slack allocation techniques to find sets of designs within 4.27% of the yield-cost Pareto-optimal front.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"5 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116936517","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Real-time dynamic hybrid BiST solution for Very-Low-Cost ATE production testing of A/D converters with controlled DPPM 用于控制DPPM的A/D转换器的低成本ATE生产测试的实时动态混合BiST解决方案
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450520
S. Dasnurkar, J. Abraham
The ideal goal of semiconductor quality assurance is to provide zero defective parts to the customer. In practice this goal is limited by test quality and test cost due to expensive ATE resources. It is typically not feasible to provide zero Defective Parts per Million (DPPM) for majority of applications due to the high costs of testing involved. Comprehensive functional tests to find all detectable faults typically have large test times resulting in a prohibitive cost. Work has been done in the field of digital testing with patterns where statistical tools are used in order to optimize the test cost and DPPM by real-time analysis. Our goal is to propose an Analog to Digital Converter (ADC) Built in Self Test (BiST) scheme which has compatibility with similar dynamic optimization measures. Multiple variants of Very Low Cost (VLC)- ATE have been developed for digital testing which rely on relaxed timing, power or tester channel requirements to lower hard-ware cost. Systems containing mixed-signal/RF components typically can not be tested on such ATE due to limitations/lack of analog/RF stimulus and measurement modules on VLC-ATE. This paper proposes a hybrid BIST scheme for ADCs to enable full production-quality testing as well as reduced coverage testing with VLC-ATE. We cover on-chip stimulus generation for a fully functional at-speed test as well as for a low-cost-reduced-coverage approach. Results for the BIST scheme are presented along with a discussion on implementation feasibility and merits. A vector based approach for observing ADC outputs is discussed which could be used with this scheme on VLC-ATE.
半导体质量保证的理想目标是为客户提供零缺陷零件。在实践中,由于昂贵的ATE资源,这一目标受到测试质量和测试成本的限制。由于涉及的测试成本高,对于大多数应用程序来说,提供百万分之缺陷率(DPPM)为零通常是不可行的。寻找所有可检测故障的全面功能测试通常需要大量的测试时间,从而导致过高的成本。在数字测试领域已经开展了一些工作,其中使用了统计工具,以便通过实时分析来优化测试成本和DPPM。我们的目标是提出一种模数转换器(ADC)内置自检(BiST)方案,该方案与类似的动态优化措施兼容。已经开发了多种低成本(VLC)- ATE用于数字测试,这些测试依赖于宽松的时序,功率或测试通道要求,以降低硬件成本。由于VLC-ATE上缺乏模拟/射频刺激和测量模块的限制,包含混合信号/射频组件的系统通常无法在这种ATE上进行测试。本文提出了adc的混合BIST方案,以实现全生产质量测试以及VLC-ATE的减少覆盖测试。我们涵盖了全功能高速测试的芯片上刺激生成以及低成本降低覆盖范围的方法。给出了BIST方案的结果,并讨论了实施的可行性和优点。讨论了一种基于矢量的ADC输出观测方法,该方法可用于VLC-ATE方案。
{"title":"Real-time dynamic hybrid BiST solution for Very-Low-Cost ATE production testing of A/D converters with controlled DPPM","authors":"S. Dasnurkar, J. Abraham","doi":"10.1109/ISQED.2010.5450520","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450520","url":null,"abstract":"The ideal goal of semiconductor quality assurance is to provide zero defective parts to the customer. In practice this goal is limited by test quality and test cost due to expensive ATE resources. It is typically not feasible to provide zero Defective Parts per Million (DPPM) for majority of applications due to the high costs of testing involved. Comprehensive functional tests to find all detectable faults typically have large test times resulting in a prohibitive cost. Work has been done in the field of digital testing with patterns where statistical tools are used in order to optimize the test cost and DPPM by real-time analysis. Our goal is to propose an Analog to Digital Converter (ADC) Built in Self Test (BiST) scheme which has compatibility with similar dynamic optimization measures. Multiple variants of Very Low Cost (VLC)- ATE have been developed for digital testing which rely on relaxed timing, power or tester channel requirements to lower hard-ware cost. Systems containing mixed-signal/RF components typically can not be tested on such ATE due to limitations/lack of analog/RF stimulus and measurement modules on VLC-ATE. This paper proposes a hybrid BIST scheme for ADCs to enable full production-quality testing as well as reduced coverage testing with VLC-ATE. We cover on-chip stimulus generation for a fully functional at-speed test as well as for a low-cost-reduced-coverage approach. Results for the BIST scheme are presented along with a discussion on implementation feasibility and merits. A vector based approach for observing ADC outputs is discussed which could be used with this scheme on VLC-ATE.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"24 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"117266207","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Signal probability control for relieving NBTI in SRAM cells 缓解SRAM细胞NBTI的信号概率控制
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450504
Yuji Kunitake, Toshinori Sato, H. Yasuura
Negative Bias Temperature Instability (NBTI) is one of the major reliability problems in advanced technologies. NBTI causes threshold voltage degradation in a PMOS transistor which is biased to negative voltage. In an SRAM cell, due to NBTI, threshold voltage degrades in the load PMOS transistors. The degradation has the impact on Static Noise Margin (SNM), which is a measure of read stability of a 6-T SRAM cell. In this paper, we discuss the relationship between NBTI degradation in an SRAM cell and the signal probability. This is because, it is the key parameter of NBTI degradation. Based on the observations, we propose a novel cell-flipping technique in order to make signal probability close to 50%. The long cell-flipping period leads to threshold voltage degradation as large as the original case where the cell-flipping technique is not applied. Thus, we employ the short flipping period to the cell-flipping technique without any stall of operations. In consequence of applying the cell-flipping technique to a register file, we can relieve threshold voltage degradation by 70% after the SRAM cell is used for 3 years.
负偏置温度不稳定性(NBTI)是先进技术中主要的可靠性问题之一。NBTI导致PMOS晶体管的阈值电压退化,使其偏向负电压。在SRAM单元中,由于NBTI,负载PMOS晶体管的阈值电压降低。这种退化对静态噪声裕度(SNM)有影响,SNM是衡量6-T SRAM单元读取稳定性的指标。本文讨论了SRAM单元中NBTI退化与信号概率的关系。这是因为它是NBTI降解的关键参数。在此基础上,我们提出了一种新的细胞翻转技术,使信号概率接近50%。由于电池翻转周期长,导致阈值电压下降的幅度与不使用电池翻转技术的情况一样大。因此,我们采用了短翻转周期的细胞翻转技术,没有任何操作失速。由于将单元翻转技术应用于寄存器文件,我们可以在SRAM单元使用3年后将阈值电压降低70%。
{"title":"Signal probability control for relieving NBTI in SRAM cells","authors":"Yuji Kunitake, Toshinori Sato, H. Yasuura","doi":"10.1109/ISQED.2010.5450504","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450504","url":null,"abstract":"Negative Bias Temperature Instability (NBTI) is one of the major reliability problems in advanced technologies. NBTI causes threshold voltage degradation in a PMOS transistor which is biased to negative voltage. In an SRAM cell, due to NBTI, threshold voltage degrades in the load PMOS transistors. The degradation has the impact on Static Noise Margin (SNM), which is a measure of read stability of a 6-T SRAM cell. In this paper, we discuss the relationship between NBTI degradation in an SRAM cell and the signal probability. This is because, it is the key parameter of NBTI degradation. Based on the observations, we propose a novel cell-flipping technique in order to make signal probability close to 50%. The long cell-flipping period leads to threshold voltage degradation as large as the original case where the cell-flipping technique is not applied. Thus, we employ the short flipping period to the cell-flipping technique without any stall of operations. In consequence of applying the cell-flipping technique to a register file, we can relieve threshold voltage degradation by 70% after the SRAM cell is used for 3 years.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"54 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122402100","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 28
Die-level leakage power analysis of FinFET circuits considering process variations 考虑工艺变化的FinFET电路的模级泄漏功率分析
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450554
Prateek Mishra, A. Bhoj, N. Jha
In the recent past, FinFETs have been touted as promising alternatives to planar CMOS owing to their superior short-channel characteristics. However, due to lithographic constraints, they are likely to suffer from the effects of process variations, which are manifested as large spreads in leakage current and delay in combinational logic circuits. In this work, we model the leakage probability density function (pdf) in shorted-gate (SG), independent-gate (IG)/low-power (LP), and mixed-terminal (MT) FinFET standard logic cells, and examine the leakage tradeoffs in benchmark circuits synthesized using combinations of SG-, LP-, and MT-mode logic cells under the effect of process variations. Using quasi-Monte Carlo mixed-mode device simulations in Sentaurus TCAD, we develop simple macromodels to capture the physical effects influencing the leakage spread in SG- and IG-mode FinFET devices, and extend it to stacked devices in NAND/NOR gates. We also implement a methodology to obtain the overall leakage current distribution for large circuits (synthesized using SG/LP/MT-mode logic cells) using Latin hypercube sampling, considering spatial correlation on a quad-tree based grid. Results indicate that, starting from a 100% SG-mode circuit, the leakage spread/yield point can be improved considerably by suitably introducing LP-mode and MT-mode gates at iso-delay. We also show that increasing the fraction of LP/MT-mode gates (to reduce the mean and variance in leakage) in an SG-mode circuit, by permitting a delay slack, yields diminishing returns. Mixing LP- and MT-mode gates with SG-mode gates appears to be a promising synthesis strategy that can leverage the leakage tradeoffs offered by FinFET standard cells.
在最近的过去,由于其优越的短通道特性,finfet被吹捧为有希望的平面CMOS替代品。然而,由于光刻的限制,它们很可能受到工艺变化的影响,表现为泄漏电流的大扩散和组合逻辑电路的延迟。在这项工作中,我们模拟了短门(SG)、独立门(IG)/低功耗(LP)和混合终端(MT) FinFET标准逻辑单元中的泄漏概率密度函数(pdf),并研究了在工艺变化的影响下,使用SG-、LP-和MT模式逻辑单元组合合成的基准电路中的泄漏权衡。利用Sentaurus TCAD中的准蒙特卡罗混合模式器件模拟,我们开发了简单的宏模型来捕捉影响SG模式和ig模式FinFET器件泄漏扩散的物理效应,并将其扩展到NAND/NOR门中的堆叠器件。我们还实现了一种方法,利用拉丁超立方体采样来获得大型电路(使用SG/LP/ mt模式逻辑单元合成)的总体泄漏电流分布,并考虑了基于四树网格的空间相关性。结果表明,从100% sg模式电路开始,在等延迟下适当引入lp模式和mt模式栅极,可以显著提高泄漏扩展/屈服点。我们还表明,通过允许延迟松弛,在sg模式电路中增加LP/ mt模式门的比例(以减少泄漏的平均值和方差)会产生递减的收益。将LP和mt模式栅极与sg模式栅极混合似乎是一种很有前途的合成策略,可以利用FinFET标准单元提供的泄漏权衡。
{"title":"Die-level leakage power analysis of FinFET circuits considering process variations","authors":"Prateek Mishra, A. Bhoj, N. Jha","doi":"10.1109/ISQED.2010.5450554","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450554","url":null,"abstract":"In the recent past, FinFETs have been touted as promising alternatives to planar CMOS owing to their superior short-channel characteristics. However, due to lithographic constraints, they are likely to suffer from the effects of process variations, which are manifested as large spreads in leakage current and delay in combinational logic circuits. In this work, we model the leakage probability density function (pdf) in shorted-gate (SG), independent-gate (IG)/low-power (LP), and mixed-terminal (MT) FinFET standard logic cells, and examine the leakage tradeoffs in benchmark circuits synthesized using combinations of SG-, LP-, and MT-mode logic cells under the effect of process variations. Using quasi-Monte Carlo mixed-mode device simulations in Sentaurus TCAD, we develop simple macromodels to capture the physical effects influencing the leakage spread in SG- and IG-mode FinFET devices, and extend it to stacked devices in NAND/NOR gates. We also implement a methodology to obtain the overall leakage current distribution for large circuits (synthesized using SG/LP/MT-mode logic cells) using Latin hypercube sampling, considering spatial correlation on a quad-tree based grid. Results indicate that, starting from a 100% SG-mode circuit, the leakage spread/yield point can be improved considerably by suitably introducing LP-mode and MT-mode gates at iso-delay. We also show that increasing the fraction of LP/MT-mode gates (to reduce the mean and variance in leakage) in an SG-mode circuit, by permitting a delay slack, yields diminishing returns. Mixing LP- and MT-mode gates with SG-mode gates appears to be a promising synthesis strategy that can leverage the leakage tradeoffs offered by FinFET standard cells.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"355 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122800726","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 38
Power-yield optimization in MPSoC task scheduling under process variation 工艺变化下MPSoC任务调度的功率优化
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450497
M. Momtazpour, E. Sanaei, M. Goudarzi
Delay and leakage power uncertainty caused by process variation has become a challenging problem in deep sub-micron technologies. In recent years, the designers have developed methods to tackle this problem in many design levels such as high level synthesis and system level synthesis. This paper addresses the problem of variation-aware task scheduling and binding for multiprocessor system-on-chip (MPSoC). We consider both delay and leakage power variations during the process of finding the best schedule so that leakier processors are less utilized and can be more frequently put in sleep mode to reduce power. Our algorithm takes advantage of event tables to accelerate the statistical timing and power analysis. We use genetic algorithm to find the best schedule that maximizes power-yield under performance-yield constraint. Experimental results on a wide range of real world and random benchmarks show that our proposed algorithm achieves 47% power-yield improvement on average over deterministic worst-case-based scheduling.
工艺变化引起的延迟和漏功率不确定性已成为深亚微米技术中一个具有挑战性的问题。近年来,设计者们从高层次综合和系统级综合等多个设计层面提出了解决这一问题的方法。本文研究了多处理器片上系统(MPSoC)的变化感知任务调度和绑定问题。在寻找最佳调度的过程中,我们考虑了延迟和泄漏功率的变化,以便泄漏处理器的利用率更低,并且可以更频繁地将其置于休眠模式以降低功耗。我们的算法利用事件表来加速统计时序和功率分析。在性能-产率约束下,利用遗传算法求出发电量最大的最佳调度方案。在广泛的现实世界和随机基准上的实验结果表明,我们提出的算法比基于最坏情况的确定性调度平均提高了47%的发电量。
{"title":"Power-yield optimization in MPSoC task scheduling under process variation","authors":"M. Momtazpour, E. Sanaei, M. Goudarzi","doi":"10.1109/ISQED.2010.5450497","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450497","url":null,"abstract":"Delay and leakage power uncertainty caused by process variation has become a challenging problem in deep sub-micron technologies. In recent years, the designers have developed methods to tackle this problem in many design levels such as high level synthesis and system level synthesis. This paper addresses the problem of variation-aware task scheduling and binding for multiprocessor system-on-chip (MPSoC). We consider both delay and leakage power variations during the process of finding the best schedule so that leakier processors are less utilized and can be more frequently put in sleep mode to reduce power. Our algorithm takes advantage of event tables to accelerate the statistical timing and power analysis. We use genetic algorithm to find the best schedule that maximizes power-yield under performance-yield constraint. Experimental results on a wide range of real world and random benchmarks show that our proposed algorithm achieves 47% power-yield improvement on average over deterministic worst-case-based scheduling.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"25 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122878453","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
Useful clock skew optimization under a multi-corner multi-mode design framework 有用的时钟偏差优化下的多角多模式设计框架
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450402
Weixiang Shen, Yici Cai, Wei Chen, Yongqiang Lyu, Qiang Zhou, Jiang Hu
As VLSI technology scales into sub-65nm realm, the complexity of timing optimization is drastically increased by the consideration of power and variations. Even though designers make great efforts during physical design, they are often faced with still heavy timing violations in deep post-routing stages. For the entire design convergence and timing closure, especially under current multi-corner multi-mode design, some more efficient methods need to be invented. In this work, we propose to address such a kind of issue by exploiting useful clock skew, which can help reduce timing violations rapidly. We also add mode/corner metric balancing measurements to make this method more flexible and applicable especially in such deep stages while the CTS is ready. The results indicate that our method can achieve an average improvements of 33.16% on the worst slack (WS) and 75.56% on the total negative slack (TNS), respectively.
随着VLSI技术扩展到65nm以下的领域,由于功率和变化的考虑,时序优化的复杂性急剧增加。尽管设计师在物理设计过程中付出了巨大的努力,但在深度路由后阶段,他们仍然经常面临严重的时间违规。对于整个设计的收敛和定时闭合,特别是在当前的多角多模式设计下,需要发明一些更有效的方法。在这项工作中,我们建议通过利用有用的时钟偏差来解决这类问题,这可以帮助快速减少时间违规。我们还添加了模式/角落度量平衡测量,使该方法更加灵活和适用,特别是在CTS准备就绪的深度阶段。结果表明,该方法对最差松弛度(WS)和总负松弛度(TNS)的平均改进率分别为33.16%和75.56%。
{"title":"Useful clock skew optimization under a multi-corner multi-mode design framework","authors":"Weixiang Shen, Yici Cai, Wei Chen, Yongqiang Lyu, Qiang Zhou, Jiang Hu","doi":"10.1109/ISQED.2010.5450402","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450402","url":null,"abstract":"As VLSI technology scales into sub-65nm realm, the complexity of timing optimization is drastically increased by the consideration of power and variations. Even though designers make great efforts during physical design, they are often faced with still heavy timing violations in deep post-routing stages. For the entire design convergence and timing closure, especially under current multi-corner multi-mode design, some more efficient methods need to be invented. In this work, we propose to address such a kind of issue by exploiting useful clock skew, which can help reduce timing violations rapidly. We also add mode/corner metric balancing measurements to make this method more flexible and applicable especially in such deep stages while the CTS is ready. The results indicate that our method can achieve an average improvements of 33.16% on the worst slack (WS) and 75.56% on the total negative slack (TNS), respectively.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"33 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129803611","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 18
Analog behavioral modeling flow using statistical learning method 模拟行为建模流程采用统计学习方法
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450479
Hui Li, M. Mansour, Sury Maturi, Li-C. Wang
This paper presents a novel behavioral-level analog circuit performance modeling methodology using kernel based support vector machine (SVM). Behavioral modeling for analog circuits is in high demand for architectural exploration and system prototyping of increasingly complex electronic systems. In this paper, we investigate the effectiveness of applying SVM to model analog circuits. Based on the different perspectives of model accuracy, we develop a model performance optimizer which automatically tunes the learning engine to achieve either the lowest worst-case error or the average error percentage. The modeling performance is compared against SPICE simulation result to validate this approach. We also present its advantages in automation and simulation speed.
提出了一种基于核支持向量机(SVM)的行为级模拟电路性能建模方法。模拟电路的行为建模在日益复杂的电子系统的架构探索和系统原型设计中有很高的需求。在本文中,我们研究了将支持向量机应用于模拟电路建模的有效性。基于模型精度的不同角度,我们开发了一个模型性能优化器,它自动调整学习引擎以达到最低的最坏情况误差或平均误差百分比。通过与SPICE仿真结果的对比,验证了该方法的有效性。并介绍了该方法在自动化程度和仿真速度方面的优势。
{"title":"Analog behavioral modeling flow using statistical learning method","authors":"Hui Li, M. Mansour, Sury Maturi, Li-C. Wang","doi":"10.1109/ISQED.2010.5450479","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450479","url":null,"abstract":"This paper presents a novel behavioral-level analog circuit performance modeling methodology using kernel based support vector machine (SVM). Behavioral modeling for analog circuits is in high demand for architectural exploration and system prototyping of increasingly complex electronic systems. In this paper, we investigate the effectiveness of applying SVM to model analog circuits. Based on the different perspectives of model accuracy, we develop a model performance optimizer which automatically tunes the learning engine to achieve either the lowest worst-case error or the average error percentage. The modeling performance is compared against SPICE simulation result to validate this approach. We also present its advantages in automation and simulation speed.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"19 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125330523","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
期刊
2010 11th International Symposium on Quality Electronic Design (ISQED)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1