首页 > 最新文献

2010 11th International Symposium on Quality Electronic Design (ISQED)最新文献

英文 中文
Analyzing and minimizing effects of temperature variation and NBTI on active leakage power of power-gated circuits 分析和最小化温度变化和NBTI对功率门控电路有源泄漏功率的影响
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450491
Abhishek A. Sinkar, N. Kim
Power-gating (PG) techniques have been widely used in modern digital ICs to reduce their standby leakage power during idle periods. Meanwhile, virtual supply voltage (VVDD) of a power-gated IC is a function of strength of a PG device and total current flowing through it. Thus, the VVDD level becomes susceptible to 1) negative bias temperature instability (NBTI) degradation that weakens the PG device over time and 2) temporal temperature variation that affects active leakage current (thus total current) of the IC. To account for the NBTI degradation, the PG device must be upsized such that it guarantees a minimum VVDD level that prevents any timing failure over chip lifetime. Moreover, the PG device is also sized for the worst-case voltage drop partly resulted by a large amount of active leakage current at high temperature. However, increasing the size of the PG device to consider both effects leads to higher VVDD (thus active leakage power) than necessary at low temperature and/or in early chip lifetime. To minimize active leakage power increase due to these effects, we propose two techniques that adjust strength of a PG device based on its usage and IC's temperature at runtime. Both techniques are applied to an experimental setup modeling total current consumption of an IC in 32nm technology and their efficacy is demonstrated in the presence of within-die spatial process and temperature variations. On average of 100 die samples, they can reduce active leakage power by up to 10% in early chip lifetime.
功率门控(PG)技术已广泛应用于现代数字集成电路中,以降低其空闲时的待机泄漏功率。同时,电源门控集成电路的虚拟电源电压(VVDD)是PG器件强度和流经该器件的总电流的函数。因此,VVDD电平变得容易受到以下因素的影响:1)负偏置温度不稳定性(NBTI)退化,这会随着时间的推移削弱PG器件;2)影响IC的有源泄漏电流(因此总电流)的时间温度变化。为了考虑NBTI退化,PG器件必须加大尺寸,以保证最低VVDD电平,从而防止芯片寿命期间发生任何时序故障。此外,PG器件的尺寸也考虑到了高温下大量主动泄漏电流造成的最坏情况下的电压降。然而,考虑到这两种影响,增加PG器件的尺寸会导致更高的VVDD(因此有源泄漏功率),而不是在低温和/或芯片寿命早期所需的。为了最大限度地减少由于这些影响而增加的有源泄漏功率,我们提出了两种技术,根据其使用情况和运行时IC的温度来调整PG器件的强度。这两种技术都应用于模拟32nm技术集成电路总电流消耗的实验设置,并在存在芯片内空间过程和温度变化的情况下证明了它们的有效性。平均100个模具样品,他们可以减少主动泄漏功率高达10%的早期芯片寿命。
{"title":"Analyzing and minimizing effects of temperature variation and NBTI on active leakage power of power-gated circuits","authors":"Abhishek A. Sinkar, N. Kim","doi":"10.1109/ISQED.2010.5450491","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450491","url":null,"abstract":"Power-gating (PG) techniques have been widely used in modern digital ICs to reduce their standby leakage power during idle periods. Meanwhile, virtual supply voltage (VVDD) of a power-gated IC is a function of strength of a PG device and total current flowing through it. Thus, the VVDD level becomes susceptible to 1) negative bias temperature instability (NBTI) degradation that weakens the PG device over time and 2) temporal temperature variation that affects active leakage current (thus total current) of the IC. To account for the NBTI degradation, the PG device must be upsized such that it guarantees a minimum VVDD level that prevents any timing failure over chip lifetime. Moreover, the PG device is also sized for the worst-case voltage drop partly resulted by a large amount of active leakage current at high temperature. However, increasing the size of the PG device to consider both effects leads to higher VVDD (thus active leakage power) than necessary at low temperature and/or in early chip lifetime. To minimize active leakage power increase due to these effects, we propose two techniques that adjust strength of a PG device based on its usage and IC's temperature at runtime. Both techniques are applied to an experimental setup modeling total current consumption of an IC in 32nm technology and their efficacy is demonstrated in the presence of within-die spatial process and temperature variations. On average of 100 die samples, they can reduce active leakage power by up to 10% in early chip lifetime.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"23 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115681100","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
Automated silicon debug data analysis techniques for a hardware data acquisition environment 用于硬件数据采集环境的自动化硅调试数据分析技术
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450506
Yu-Shen Yang, Brian Keng, N. Nicolici, A. Veneris, Sean Safarpour
Silicon debug poses a unique challenge to the engineer because of the limited access to internal signals of the chip. Embedded hardware such as trace buffers helps overcome this challenge by acquiring data in real time. However, trace buffers only provide access to a limited subset of pre-selected signals. In order to effectively debug, it is essential to configure the trace-buffer to trace the relevant signals selected from the pre-defined set. This can be a labor-intensive and time-consuming process. This paper introduces a set of techniques to automate the configuring process for trace buffer-based hardware. First, the proposed approach utilizes UNSAT cores to identify signals that can provide valuable information for localizing the error. Next, it finds alternatives for signals not part of the traceable set so that it can imply the corresponding values. Integrating the proposed techniques with a debugging methodology, experiments show that the methodology can reduce 30% of potential suspects with as low as 8% of registers traced, demonstrating the effectiveness of the proposed procedures.
由于对芯片内部信号的访问有限,硅调试对工程师提出了一个独特的挑战。跟踪缓冲区等嵌入式硬件通过实时获取数据帮助克服了这一挑战。但是,跟踪缓冲区只提供对预先选定信号的有限子集的访问。为了有效地调试,必须配置跟踪缓冲区来跟踪从预定义集合中选择的相关信号。这可能是一个劳动密集型和耗时的过程。本文介绍了一套自动化配置基于跟踪缓冲区的硬件的技术。首先,所提出的方法利用UNSAT核心来识别可以为定位误差提供有价值信息的信号。接下来,它寻找不属于可跟踪集的信号的替代方案,以便它可以暗示相应的值。将所提出的技术与调试方法相结合,实验表明,该方法可以减少30%的潜在嫌疑人,追踪寄存器的比例低至8%,证明了所提出程序的有效性。
{"title":"Automated silicon debug data analysis techniques for a hardware data acquisition environment","authors":"Yu-Shen Yang, Brian Keng, N. Nicolici, A. Veneris, Sean Safarpour","doi":"10.1109/ISQED.2010.5450506","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450506","url":null,"abstract":"Silicon debug poses a unique challenge to the engineer because of the limited access to internal signals of the chip. Embedded hardware such as trace buffers helps overcome this challenge by acquiring data in real time. However, trace buffers only provide access to a limited subset of pre-selected signals. In order to effectively debug, it is essential to configure the trace-buffer to trace the relevant signals selected from the pre-defined set. This can be a labor-intensive and time-consuming process. This paper introduces a set of techniques to automate the configuring process for trace buffer-based hardware. First, the proposed approach utilizes UNSAT cores to identify signals that can provide valuable information for localizing the error. Next, it finds alternatives for signals not part of the traceable set so that it can imply the corresponding values. Integrating the proposed techniques with a debugging methodology, experiments show that the methodology can reduce 30% of potential suspects with as low as 8% of registers traced, demonstrating the effectiveness of the proposed procedures.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"63 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124428216","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
Statistical static timing analysis flow for transistor level macros in a microprocessor 微处理器中晶体管级宏的统计静态时序分析流程
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450412
V. Nandakumar, D. Newmark, Yaping Zhan, M. Marek-Sadowska
Process variations are of great concern in modern technologies. Early prediction of their effects on the circuit performance and parametric yield is extremely useful. In today's microprocessors, custom designed transistor level macros and memory array macros, like caches, occupy a significant fraction of the total core area. While block-based statistical static timing analysis (SSTA) techniques are fast and can be used for analyzing cell based designs, they cannot be used for transistor level macros. Currently, such macros are either abstracted with statistical timing models which are less accurate or are analyzed using statistical Monte-Carlo circuit simulations which are time consuming. In this paper, we develop a fast and accurate flow that can be used to perform SSTA on large transistor and memory array macros. The delay distributions of paths obtained using our flow for a large, industrial, 45 nm, transistor level macro have error of less than 6% compared to those obtained after rigorous Monte-Carlo SPICE simulations. The resulting flow enables full-chip SSTA, provides visibility into the macro even at the chip level, and eliminates the need to abstract the macros with statistical timing models.
工艺变化是现代技术中非常关注的问题。早期预测它们对电路性能和参数良率的影响是非常有用的。在今天的微处理器中,定制设计的晶体管级宏和存储器阵列宏,如缓存,占据了整个核心区域的很大一部分。虽然基于块的统计静态时序分析(SSTA)技术速度快,可用于分析基于单元的设计,但它们不能用于晶体管级宏。目前,这些宏要么是用统计时序模型抽象出来的,但精度不高;要么是用统计蒙特卡罗电路仿真来分析,但耗时较长。在本文中,我们开发了一种快速准确的流程,可用于在大型晶体管和存储阵列宏上执行SSTA。与严格的蒙特卡洛SPICE模拟相比,使用我们的流程获得的大型工业45 nm晶体管级宏的路径延迟分布误差小于6%。生成的流支持全芯片SSTA,甚至在芯片级别提供对宏的可见性,并且消除了使用统计时序模型抽象宏的需要。
{"title":"Statistical static timing analysis flow for transistor level macros in a microprocessor","authors":"V. Nandakumar, D. Newmark, Yaping Zhan, M. Marek-Sadowska","doi":"10.1109/ISQED.2010.5450412","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450412","url":null,"abstract":"Process variations are of great concern in modern technologies. Early prediction of their effects on the circuit performance and parametric yield is extremely useful. In today's microprocessors, custom designed transistor level macros and memory array macros, like caches, occupy a significant fraction of the total core area. While block-based statistical static timing analysis (SSTA) techniques are fast and can be used for analyzing cell based designs, they cannot be used for transistor level macros. Currently, such macros are either abstracted with statistical timing models which are less accurate or are analyzed using statistical Monte-Carlo circuit simulations which are time consuming. In this paper, we develop a fast and accurate flow that can be used to perform SSTA on large transistor and memory array macros. The delay distributions of paths obtained using our flow for a large, industrial, 45 nm, transistor level macro have error of less than 6% compared to those obtained after rigorous Monte-Carlo SPICE simulations. The resulting flow enables full-chip SSTA, provides visibility into the macro even at the chip level, and eliminates the need to abstract the macros with statistical timing models.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"63 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121919815","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A 2-port 6T SRAM bitcell design with multi-port capabilities at reduced area overhead 2端口6T SRAM位单元设计,具有多端口功能,减少了面积开销
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450397
Jawar Singh, Dilip S. Aswar, S. Mohanty, D. Pradhan
Low power, minimum transistor count and fast access static random access memory (SRAM) is essential for embedded multimedia and communication applications realized using system on a chip (SoC) technology. Hence, simultaneous or parallel read/write (R/W) access multi-port SRAM bitcells are widely employed in such embedded systems. In this paper, we present a 2-port 6T SRAM bitcell with multi-port capabilities and a reduced area overhead compared to existing 2-port 7-transistor (7T) and 8T SRAM bitcells. The proposed 2-port bitcell has six transistors (6T) and single-ended read and write bitlines (RBL/WBL). We compare the stability, simultaneous read/write disturbance, SNM sensitivity and misread current from the read bitline with the 7T and 8T bitcells. The static noise margin (SNM) of the 6T bitcells around the write disturbed bitcell is 53% to 61% higher than that of the 7T bitcell. The average active power dissipation under the different read/write operations of the 6T bitcells is 28% lower than the 8T and equal to 7T bitcell. Hence, the proposed 2-port 6T-SRAM is a potential candidate in terms of process variability, stability, area, and power dissipation.
低功耗、最小晶体管数和快速访问静态随机存取存储器(SRAM)对于使用片上系统(SoC)技术实现嵌入式多媒体和通信应用至关重要。因此,同步或并行读/写(R/W)访问多端口SRAM位元被广泛应用于此类嵌入式系统中。在本文中,我们提出了一个具有多端口功能的2端口6T SRAM位单元,与现有的2端口7晶体管(7T)和8T SRAM位单元相比,面积开销更小。提出的2端口位单元具有6个晶体管(6T)和单端读写位线(RBL/WBL)。我们比较了7T和8T位单元的稳定性、同时读写干扰、SNM灵敏度和读位线误读电流。写入干扰位元周围的6T位元的静态噪声裕度(SNM)比7T位元高53% ~ 61%。6T比特单元不同读写操作下的平均有功功耗比8T比特单元低28%,等于7T比特单元。因此,就工艺可变性、稳定性、面积和功耗而言,拟议的2端口6T-SRAM是一个潜在的候选者。
{"title":"A 2-port 6T SRAM bitcell design with multi-port capabilities at reduced area overhead","authors":"Jawar Singh, Dilip S. Aswar, S. Mohanty, D. Pradhan","doi":"10.1109/ISQED.2010.5450397","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450397","url":null,"abstract":"Low power, minimum transistor count and fast access static random access memory (SRAM) is essential for embedded multimedia and communication applications realized using system on a chip (SoC) technology. Hence, simultaneous or parallel read/write (R/W) access multi-port SRAM bitcells are widely employed in such embedded systems. In this paper, we present a 2-port 6T SRAM bitcell with multi-port capabilities and a reduced area overhead compared to existing 2-port 7-transistor (7T) and 8T SRAM bitcells. The proposed 2-port bitcell has six transistors (6T) and single-ended read and write bitlines (RBL/WBL). We compare the stability, simultaneous read/write disturbance, SNM sensitivity and misread current from the read bitline with the 7T and 8T bitcells. The static noise margin (SNM) of the 6T bitcells around the write disturbed bitcell is 53% to 61% higher than that of the 7T bitcell. The average active power dissipation under the different read/write operations of the 6T bitcells is 28% lower than the 8T and equal to 7T bitcell. Hence, the proposed 2-port 6T-SRAM is a potential candidate in terms of process variability, stability, area, and power dissipation.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"29 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124061694","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 13
A negotiated congestion based router for simultaneous escape routing 一种基于协商拥塞的路由器,用于同时逃逸路由
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450514
Q. Ma, Tan Yan, Martin D. F. Wong
The negotiated congestion based routing scheme finds success in FPGA routing and IC global routing. However, its application in simultaneous escape routing, a key problem in PCB design, has never been reported in previous literature. In this paper, we investigate how well the negotiated congestion based router performs on escape routing problems. We propose an underlying routing graph which correctly models the routing resources of the pin grids on board. We then build a Negotiated Congestion based Escape Router (NCER) by applying the negotiated congestion routing scheme on the constructed routing graph. We compare the performance of NCER with that of Cadence PCB router Allegro on 14 industrial test cases, and experimental results show that the two routers have comparable routability: each of them completely routes 7 test cases. Moreover, we observe that NCER and Allegro exhibit complementary behaviors: each is able to solve most of the test cases that the other cannot solve. Together, they completely route 11 test cases. Therefore, by using NCER as a supplement to Allegro, we can solve a broader range of escape routing problems.
基于协商拥塞的路由方案在FPGA路由和IC全局路由中都取得了成功。然而,它在PCB设计中的关键问题——同步逃逸布线中的应用,在以往的文献中从未报道过。在本文中,我们研究了基于协商拥塞的路由器在逃逸路由问题上的表现。我们提出了一个底层路由图,它正确地模拟了板上引脚网格的路由资源。然后,通过在构造的路由图上应用协商拥塞路由方案,构建了一个基于协商拥塞的逃逸路由器(NCER)。我们将NCER与Cadence PCB路由器Allegro在14个工业用例上的性能进行了比较,实验结果表明,这两种路由器具有相当的可达性:每个路由器完全路由7个测试用例。此外,我们观察到NCER和Allegro表现出互补的行为:每个都能够解决另一个不能解决的大多数测试用例。它们一起完全路由了11个测试用例。因此,通过使用NCER作为Allegro的补充,我们可以解决更广泛的逃逸路由问题。
{"title":"A negotiated congestion based router for simultaneous escape routing","authors":"Q. Ma, Tan Yan, Martin D. F. Wong","doi":"10.1109/ISQED.2010.5450514","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450514","url":null,"abstract":"The negotiated congestion based routing scheme finds success in FPGA routing and IC global routing. However, its application in simultaneous escape routing, a key problem in PCB design, has never been reported in previous literature. In this paper, we investigate how well the negotiated congestion based router performs on escape routing problems. We propose an underlying routing graph which correctly models the routing resources of the pin grids on board. We then build a Negotiated Congestion based Escape Router (NCER) by applying the negotiated congestion routing scheme on the constructed routing graph. We compare the performance of NCER with that of Cadence PCB router Allegro on 14 industrial test cases, and experimental results show that the two routers have comparable routability: each of them completely routes 7 test cases. Moreover, we observe that NCER and Allegro exhibit complementary behaviors: each is able to solve most of the test cases that the other cannot solve. Together, they completely route 11 test cases. Therefore, by using NCER as a supplement to Allegro, we can solve a broader range of escape routing problems.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"56 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124635065","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 29
The compatibility analysis of thread migration and DVFS in multi-core processor 多核处理器中线程迁移与DVFS的兼容性分析
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450478
Dongkeun Oh, C. C. Chen, N. Kim, Y. Hu
Integrating multiple cores into a processor increases the heat density significantly, which often constrains the maximum performance of such a processor. There have been many techniques using dynamic voltage and frequency scaling (DVFS) and thread migration to manipulate heat dissipation in thermally-constrained multi-core processors. However, most of them were analyzed and applied individually for optimizing the performance of the multi-core processors while their computational cost for the optimization was not studied well. In this paper, we argue that a coherent organization of two techniques can maximize the performance of the multi-core processors with the least performance overheads associated with the thermal management techniques. Furthermore, we also propose an efficient method to optimize the performance of thermal-constrained multi-core processors. According to our experiment, we achieved 5% throughput improvement with negligible computation cost.
将多个核心集成到处理器中会显著增加热密度,这通常会限制此类处理器的最大性能。在热约束的多核处理器中,有许多技术使用动态电压和频率缩放(DVFS)和线程迁移来控制散热。然而,大多数方法都是单独分析和应用于多核处理器的性能优化,而对其优化的计算成本研究较少。在本文中,我们认为两种技术的一致组织可以最大限度地提高多核处理器的性能,并且与热管理技术相关的性能开销最小。此外,我们还提出了一种有效的方法来优化热约束多核处理器的性能。根据我们的实验,我们在计算成本可以忽略不计的情况下实现了5%的吞吐量提升。
{"title":"The compatibility analysis of thread migration and DVFS in multi-core processor","authors":"Dongkeun Oh, C. C. Chen, N. Kim, Y. Hu","doi":"10.1109/ISQED.2010.5450478","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450478","url":null,"abstract":"Integrating multiple cores into a processor increases the heat density significantly, which often constrains the maximum performance of such a processor. There have been many techniques using dynamic voltage and frequency scaling (DVFS) and thread migration to manipulate heat dissipation in thermally-constrained multi-core processors. However, most of them were analyzed and applied individually for optimizing the performance of the multi-core processors while their computational cost for the optimization was not studied well. In this paper, we argue that a coherent organization of two techniques can maximize the performance of the multi-core processors with the least performance overheads associated with the thermal management techniques. Furthermore, we also propose an efficient method to optimize the performance of thermal-constrained multi-core processors. According to our experiment, we achieved 5% throughput improvement with negligible computation cost.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"47 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129721349","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Structural fault collapsing by superposition of BDDs for test generation in digital circuits 数字电路测试生成用bdd叠加构造断层塌陷
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450451
R. Ubar, Dmitri Mironov, J. Raik, A. Jutman
The paper presents a new structural fault-independent fault collapsing method based on the topology analysis of the circuit, which has linear complexity. The minimal necessary set of faults as the target objective for test generation is found. The main idea is to produce fault collapsing concurrently with the construction of structurally synthesized binary decision diagrams (SSBDD) used for test generation, as a side effect. To improve the fault collapsing, a new class of BDDs in a form of SSBDDs with multiple inputs (SSMIBDD) is proposed, which allows a significant reduction of the model complexity for test generation purposes, and produces collapsed fault sets with less sizes than the SSBDDs provide. Experimental data show that the fault collapsing by the proposed method is considerably more efficient than other structural fault collapsing methods with comparative time cost. The method is especially efficient for circuits with high rate of internal fanouts.
本文提出了一种基于电路拓扑分析的结构故障无关故障崩溃方法,该方法具有线性复杂性。找到最小必要的故障集作为测试生成的目标目标。其主要思想是在构造用于测试生成的结构综合二元决策图(SSBDD)的同时产生故障塌陷,作为副作用。为了改进故障折叠,提出了一种新的多输入ssbdd形式的bdd (SSMIBDD),它可以显著降低测试生成的模型复杂性,并产生比ssbdd更小大小的折叠故障集。实验数据表明,与其他构造断层塌陷方法相比,采用该方法进行断层塌陷的效率明显提高,且时间成本相对较低。该方法对具有高内部扇出率的电路特别有效。
{"title":"Structural fault collapsing by superposition of BDDs for test generation in digital circuits","authors":"R. Ubar, Dmitri Mironov, J. Raik, A. Jutman","doi":"10.1109/ISQED.2010.5450451","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450451","url":null,"abstract":"The paper presents a new structural fault-independent fault collapsing method based on the topology analysis of the circuit, which has linear complexity. The minimal necessary set of faults as the target objective for test generation is found. The main idea is to produce fault collapsing concurrently with the construction of structurally synthesized binary decision diagrams (SSBDD) used for test generation, as a side effect. To improve the fault collapsing, a new class of BDDs in a form of SSBDDs with multiple inputs (SSMIBDD) is proposed, which allows a significant reduction of the model complexity for test generation purposes, and produces collapsed fault sets with less sizes than the SSBDDs provide. Experimental data show that the fault collapsing by the proposed method is considerably more efficient than other structural fault collapsing methods with comparative time cost. The method is especially efficient for circuits with high rate of internal fanouts.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129589672","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
Quality-driven methodology for demanding accelerator design 高要求加速器设计的质量驱动方法
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450546
L. Józwiak, Y. Jan
This paper focuses on mastering the architecture development of hardware accelerators for demanding applications. It presents the results of our analysis of the main problems that have to be solved when designing accelerators for modern demanding applications, and illustrates the problems with an example of accelerator design for LDPC code decoders for the newest communication system standards. Based on the results of our analysis, we formulate the main requirements that have to be satisfied by an adequate methodology for demanding accelerator design, and propose an architecture design methodology which satisfies the requirements.
本文的重点是掌握硬件加速器的体系结构开发要求苛刻的应用。本文介绍了在设计加速器时需要解决的主要问题的分析结果,并以最新通信系统标准的LDPC码解码器的加速器设计为例说明了这些问题。基于我们的分析结果,我们制定了要求苛刻的加速器设计必须通过适当的方法来满足的主要要求,并提出了满足要求的架构设计方法。
{"title":"Quality-driven methodology for demanding accelerator design","authors":"L. Józwiak, Y. Jan","doi":"10.1109/ISQED.2010.5450546","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450546","url":null,"abstract":"This paper focuses on mastering the architecture development of hardware accelerators for demanding applications. It presents the results of our analysis of the main problems that have to be solved when designing accelerators for modern demanding applications, and illustrates the problems with an example of accelerator design for LDPC code decoders for the newest communication system standards. Based on the results of our analysis, we formulate the main requirements that have to be satisfied by an adequate methodology for demanding accelerator design, and propose an architecture design methodology which satisfies the requirements.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"5 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127340919","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
A non-parametric approach to behavioral device modeling 行为装置建模的非参数方法
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450433
D. Drmanac, B. Bolin, Li-C. Wang
This work proposes a non-parametric methodology for quick and effective behavioral macromodeling of complex digital and analog devices. Gaussian Process Regression (GPR) learning algorithms are used to generate simple, robust, and widely applicable time-domain models without specifying device equations or parameters. SPICE simulations expose device dynamics to train behavioral models while exhaustive validation ensures accurate and efficient models are generated. Average speedups of 97X are observed over SPICE simulation maintaining accurate outputs within 95% confidence intervals.
这项工作提出了一种非参数方法,用于快速有效地对复杂的数字和模拟设备进行行为宏观建模。高斯过程回归(GPR)学习算法用于生成简单,鲁棒和广泛适用的时域模型,而无需指定设备方程或参数。SPICE模拟暴露设备动态来训练行为模型,而详尽的验证确保生成准确有效的模型。在SPICE模拟中观察到97X的平均加速,在95%的置信区间内保持准确的输出。
{"title":"A non-parametric approach to behavioral device modeling","authors":"D. Drmanac, B. Bolin, Li-C. Wang","doi":"10.1109/ISQED.2010.5450433","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450433","url":null,"abstract":"This work proposes a non-parametric methodology for quick and effective behavioral macromodeling of complex digital and analog devices. Gaussian Process Regression (GPR) learning algorithms are used to generate simple, robust, and widely applicable time-domain models without specifying device equations or parameters. SPICE simulations expose device dynamics to train behavioral models while exhaustive validation ensures accurate and efficient models are generated. Average speedups of 97X are observed over SPICE simulation maintaining accurate outputs within 95% confidence intervals.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"59 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129948974","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Minimizing the power consumption of a Chip Multiprocessor under an average throughput constraint 在平均吞吐量约束下最小化芯片多处理器的功耗
Pub Date : 2010-03-22 DOI: 10.1109/ISQED.2010.5450550
M. Ghasemazar, E. Pakbaznia, Massoud Pedram
In a multi-core system, power and performance may be dynamically traded off by utilizing power management (PM). This paper addresses the problem of minimizing the total power consumption of a Chip Multiprocessor (CMP) while maintaining a target average throughput. The proposed solution relies on a hierarchical framework, which employs core consolidation, coarse-grain dynamic voltage and frequency scaling (DVFS), and task assignment at the CMP level and fine-grain DVFS based on closed-loop feedback control at the individual core level. Our experimental results are very favorable showing noticeable average power saving compared to a baseline technique, and demonstrate the high efficacy of the proposed hierarchical PM framework.
在多核系统中,可以通过使用电源管理(PM)动态地权衡功耗和性能。本文讨论了在保持目标平均吞吐量的同时最小化芯片多处理器(CMP)的总功耗的问题。提出的解决方案依赖于一个分层框架,该框架采用核心整合、粗粒度动态电压和频率缩放(DVFS)和任务分配(CMP级)和基于闭环反馈控制的细粒度DVFS(单个核心级)。与基线技术相比,我们的实验结果非常有利,显示出明显的平均功耗节省,并证明了所提出的分层PM框架的高效率。
{"title":"Minimizing the power consumption of a Chip Multiprocessor under an average throughput constraint","authors":"M. Ghasemazar, E. Pakbaznia, Massoud Pedram","doi":"10.1109/ISQED.2010.5450550","DOIUrl":"https://doi.org/10.1109/ISQED.2010.5450550","url":null,"abstract":"In a multi-core system, power and performance may be dynamically traded off by utilizing power management (PM). This paper addresses the problem of minimizing the total power consumption of a Chip Multiprocessor (CMP) while maintaining a target average throughput. The proposed solution relies on a hierarchical framework, which employs core consolidation, coarse-grain dynamic voltage and frequency scaling (DVFS), and task assignment at the CMP level and fine-grain DVFS based on closed-loop feedback control at the individual core level. Our experimental results are very favorable showing noticeable average power saving compared to a baseline technique, and demonstrate the high efficacy of the proposed hierarchical PM framework.","PeriodicalId":369046,"journal":{"name":"2010 11th International Symposium on Quality Electronic Design (ISQED)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131044998","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 32
期刊
2010 11th International Symposium on Quality Electronic Design (ISQED)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1