首页 > 最新文献

2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM)最新文献

英文 中文
Optimizing ULK film properties to enable BEOL integration with TDDB reliability 优化ULK薄膜性能,使BEOL与TDDB可靠性集成
E. T. Ryan, D. Priyadarshini, Stephen M. Gates, Hosadurga Shobha, James Hsueh-Chung Chen, Kumar Virwani, Anita Madan, E. Adams, Elbert E. Huang, E. Liniger, D. Collins, M. Stolfi, Kang Sub Yim, Alexandros T. Demos, Alfred Grill
Increasing circuit density in multilevel back-end-of line (BEOL) interconnects is necessary to improve integrated circuit performance and area scaling. Ultra low-k (ULK) dielectrics are used to minimize capacitance for lower power consumption and better capacitance-resistance (RC) performance. However, these materials pose integration and reliability challenges, which have limited our ability to scale the dielectric constant lower.1 Minimizing porosity, maximizing carbon content, and altering how carbon is bonded in porous SiCOH films reduces plasma-induced damage (PID) to the ULK and improves TDDB reliability, but these improvement must be balanced by maintaining other film properties such as elastic modulus. This paper describes one technique to achieve this combination of high carbon content and low porosity to allow k scaling while meeting integration and reliability requirements.
提高多电平后端互连电路的密度是提高集成电路性能和面积缩小的必要条件。超低k (ULK)电介质用于最小化电容,以降低功耗和更好的电容-电阻(RC)性能。然而,这些材料带来了集成和可靠性方面的挑战,这限制了我们降低介电常数的能力最小化孔隙度,最大化碳含量,改变碳在多孔SiCOH薄膜中的结合方式,可以减少ULK的等离子体损伤(PID),提高TDDB的可靠性,但这些改进必须通过保持薄膜的其他性能(如弹性模量)来平衡。本文介绍了一种技术,可以实现高碳含量和低孔隙度的结合,从而在满足集成和可靠性要求的同时实现k结垢。
{"title":"Optimizing ULK film properties to enable BEOL integration with TDDB reliability","authors":"E. T. Ryan, D. Priyadarshini, Stephen M. Gates, Hosadurga Shobha, James Hsueh-Chung Chen, Kumar Virwani, Anita Madan, E. Adams, Elbert E. Huang, E. Liniger, D. Collins, M. Stolfi, Kang Sub Yim, Alexandros T. Demos, Alfred Grill","doi":"10.1109/IITC-MAM.2015.7325597","DOIUrl":"https://doi.org/10.1109/IITC-MAM.2015.7325597","url":null,"abstract":"Increasing circuit density in multilevel back-end-of line (BEOL) interconnects is necessary to improve integrated circuit performance and area scaling. Ultra low-k (ULK) dielectrics are used to minimize capacitance for lower power consumption and better capacitance-resistance (RC) performance. However, these materials pose integration and reliability challenges, which have limited our ability to scale the dielectric constant lower.1 Minimizing porosity, maximizing carbon content, and altering how carbon is bonded in porous SiCOH films reduces plasma-induced damage (PID) to the ULK and improves TDDB reliability, but these improvement must be balanced by maintaining other film properties such as elastic modulus. This paper describes one technique to achieve this combination of high carbon content and low porosity to allow k scaling while meeting integration and reliability requirements.","PeriodicalId":6514,"journal":{"name":"2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM)","volume":"80 8 1","pages":"349-352"},"PeriodicalIF":0.0,"publicationDate":"2015-05-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87990865","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Nanometre scale 3D nanomechanical imaging of semiconductor structures from few nm to sub-micrometre depths 从几纳米到亚微米深度的半导体结构的纳米尺度三维纳米力学成像
O. Kolosov, F. Dinelli, A. Robson, A. Krier, M. Hayne, V. Fal’ko, M. Henini
Multilayer structures of active semiconductor devices (1), novel memories (2) and semiconductor interconnects are becoming increasingly three-dimensional (3D) with simultaneous decrease of dimensions down to the few nanometres length scale (3). Ability to test and explore these 3D nanostructures with nanoscale resolution is vital for the optimization of their operation and improving manufacturing processes of new semiconductor devices. While electron and scanning probe microscopes (SPMs) can provide necessary lateral resolution, their ability to probe underneath the immediate surface is severely limited. Cross-sectioning of the structures via focused ion beam (FIB) to expose the subsurface areas often introduces multiple artefacts that mask the true features of the hidden structures, negating benefits of such approach. In addition, the few tens of micrometre dimension of FIB cut, make it unusable for the SPM investigation.
有源半导体器件(1)、新型存储器(2)和半导体互连的多层结构正变得越来越三维(3D),同时尺寸减小到几纳米长度尺度(3)。以纳米级分辨率测试和探索这些3D纳米结构的能力对于优化其操作和改进新半导体器件的制造工艺至关重要。虽然电子和扫描探针显微镜(SPMs)可以提供必要的横向分辨率,但它们探测直接表面下的能力受到严重限制。通过聚焦离子束(FIB)对结构进行横切以暴露地下区域,通常会引入多个人工制品,这些人工制品掩盖了隐藏结构的真实特征,从而抵消了这种方法的优点。此外,FIB切割的尺寸只有几十微米,无法用于SPM的研究。
{"title":"Nanometre scale 3D nanomechanical imaging of semiconductor structures from few nm to sub-micrometre depths","authors":"O. Kolosov, F. Dinelli, A. Robson, A. Krier, M. Hayne, V. Fal’ko, M. Henini","doi":"10.1109/IITC-MAM.2015.7325609","DOIUrl":"https://doi.org/10.1109/IITC-MAM.2015.7325609","url":null,"abstract":"Multilayer structures of active semiconductor devices (1), novel memories (2) and semiconductor interconnects are becoming increasingly three-dimensional (3D) with simultaneous decrease of dimensions down to the few nanometres length scale (3). Ability to test and explore these 3D nanostructures with nanoscale resolution is vital for the optimization of their operation and improving manufacturing processes of new semiconductor devices. While electron and scanning probe microscopes (SPMs) can provide necessary lateral resolution, their ability to probe underneath the immediate surface is severely limited. Cross-sectioning of the structures via focused ion beam (FIB) to expose the subsurface areas often introduces multiple artefacts that mask the true features of the hidden structures, negating benefits of such approach. In addition, the few tens of micrometre dimension of FIB cut, make it unusable for the SPM investigation.","PeriodicalId":6514,"journal":{"name":"2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM)","volume":"17 1","pages":"43-46"},"PeriodicalIF":0.0,"publicationDate":"2015-05-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"91185826","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Numerical simulation of nano-indentation induced fracture of low-k dielectric thin films using the cube corner indenter 利用立方角压头对低k介电薄膜的纳米压痕断裂进行数值模拟
H. Zahedmanesh, K. Vanstreels, Mario Gonzalez
In this study, indentation and fracture of compliant low-dielectric constant (low-k) films on silicon substrates was investigated by means of finite element (FE) modelling. Cohesive zone damage models were employed for fracture simulation and damage constitutive parameters and plastic yield stress of organosilicate glass 2.4 (OSG 2.4) low-k films coated on silicon substrates were obtained by correlating the force-displacement and crack growth response with experiments. The model lends itself to characterization of brittle films where the value of the Young's modulus, the maximum cohesive strength, the critical cohesive energy release rate and plastic yield stress of the low-k films can be extracted only by conducting cube corner indentation experiments and employing the finite element model.
本文采用有限元模拟的方法研究了硅衬底上低介电常数(low-k)柔性薄膜的压痕和断裂问题。采用内聚区损伤模型进行断裂模拟,通过将力-位移和裂纹扩展响应与实验相关联,得到硅基上涂覆有机硅玻璃2.4 (OSG 2.4)低k薄膜的损伤本构参数和塑性屈服应力。该模型适用于脆性薄膜的表征,低k薄膜的杨氏模量、最大内聚强度、临界内聚能释放率和塑性屈服应力只有通过立方体角压痕实验和有限元模型才能得到。
{"title":"Numerical simulation of nano-indentation induced fracture of low-k dielectric thin films using the cube corner indenter","authors":"H. Zahedmanesh, K. Vanstreels, Mario Gonzalez","doi":"10.1109/IITC-MAM.2015.7325630","DOIUrl":"https://doi.org/10.1109/IITC-MAM.2015.7325630","url":null,"abstract":"In this study, indentation and fracture of compliant low-dielectric constant (low-k) films on silicon substrates was investigated by means of finite element (FE) modelling. Cohesive zone damage models were employed for fracture simulation and damage constitutive parameters and plastic yield stress of organosilicate glass 2.4 (OSG 2.4) low-k films coated on silicon substrates were obtained by correlating the force-displacement and crack growth response with experiments. The model lends itself to characterization of brittle films where the value of the Young's modulus, the maximum cohesive strength, the critical cohesive energy release rate and plastic yield stress of the low-k films can be extracted only by conducting cube corner indentation experiments and employing the finite element model.","PeriodicalId":6514,"journal":{"name":"2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM)","volume":"74 1","pages":"75-78"},"PeriodicalIF":0.0,"publicationDate":"2015-05-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90651887","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Copper-copper direct bonding: Impact of grain size 铜-铜直接键合:晶粒尺寸的影响
P. Gondcharton, B. Imbert, L. Benaissa, M. Verdier
In recent years, a great interest has emerged in the development of new wafer-scale assembly processes. Beside the mechanical strength required, some applications need a vertical conductivity leading to implement metal thin films as bonding layers. For its interesting properties in terms of resistivity and reliability, copper has been already used in metal-metal direct bonding configuration. Initially developed on amorphous silicon dioxide layers, the polycristallinity character of metal films has a direct impact on the direct bonding mechanisms. In this paper, we will study the effect of grain size on direct bonding of polycrystalline copper thin films. More specifically at temperature below 150°C, a fine-grain copper microstructure demonstrates a fast sealing strengthening. For higher temperature application, a larger grain size enables limiting the copper-barrier interface damage and preserves a strong mechanical link between substrates.
近年来,人们对开发新的晶圆级组装工艺产生了极大的兴趣。除了所需的机械强度外,一些应用还需要垂直导电性,从而实现金属薄膜作为粘合层。由于其在电阻率和可靠性方面的有趣特性,铜已被用于金属-金属直接键合配置。金属薄膜的多晶性对直接键合机制有直接影响。本文将研究晶粒尺寸对多晶铜薄膜直接键合的影响。更具体地说,在低于150°C的温度下,细晶铜微观结构表现出快速的密封强化。对于高温应用,更大的晶粒尺寸可以限制铜屏障界面的损伤,并保持衬底之间牢固的机械联系。
{"title":"Copper-copper direct bonding: Impact of grain size","authors":"P. Gondcharton, B. Imbert, L. Benaissa, M. Verdier","doi":"10.1109/IITC-MAM.2015.7325657","DOIUrl":"https://doi.org/10.1109/IITC-MAM.2015.7325657","url":null,"abstract":"In recent years, a great interest has emerged in the development of new wafer-scale assembly processes. Beside the mechanical strength required, some applications need a vertical conductivity leading to implement metal thin films as bonding layers. For its interesting properties in terms of resistivity and reliability, copper has been already used in metal-metal direct bonding configuration. Initially developed on amorphous silicon dioxide layers, the polycristallinity character of metal films has a direct impact on the direct bonding mechanisms. In this paper, we will study the effect of grain size on direct bonding of polycrystalline copper thin films. More specifically at temperature below 150°C, a fine-grain copper microstructure demonstrates a fast sealing strengthening. For higher temperature application, a larger grain size enables limiting the copper-barrier interface damage and preserves a strong mechanical link between substrates.","PeriodicalId":6514,"journal":{"name":"2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM)","volume":"84 4","pages":"229-232"},"PeriodicalIF":0.0,"publicationDate":"2015-05-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"91463230","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Process development of replacement metal gate Tungsten chemical mechanical polishing on 14nm technology node and beyond 14nm及以上节点替代金属栅钨化学机械抛光工艺开发
J. C. Lin, H. Liu, W. Lin, C. -. Lin, T. Hung, K. R. Li, J. F. Lin, J. Y. Wang, C. C. Liu, J. Y. Wu
The control of gate height uniformity, especially within-die gate height uniformity, and metal gate surface properties of 14nm technology node replacement metal gate (RMG) chemical mechanical polishing is important for 14nm high-k metal gate (HKMG) process. Good within-die uniformity would benefit for the following Tungsten etching back process(WEB) to have a uniform within-die etching depth, and proper post CMP Tungsten gate surface properties would generate a thinner Tungsten oxide surface to reduce WEB process loading. This study demonstrated the possibility of Tungsten gate CMP(WGCMP) to obtain good within-die gate height uniformity by selection of slurry and proper Tungsten gate surface by post buffing step CMP treatment. Due to high hardness of Tungsten, hardness of polishing pad and abrasive of slurry selection should be not a gap for micro scratch improvement, what the performance focus would put on within-die uniformity and post CMP Tungsten surface properties. In this study, the first result showed the control of erosion was important for within-die gate height uniformity. The criteria of slurry selection for WGCMP were higher Tungsten removal rate and lower oxide removal rate which especially resulted in lower pattern density area of erosion. And the second result showed Chemical-A polish time of post-Tungsten buffing CMP would dominate the Tungsten surface properties and influence WEB behavior.
14nm工艺节点替代金属栅(RMG)化学机械抛光的浇口高度均匀性,特别是模内浇口高度均匀性和金属栅表面性能的控制是14nm高k金属栅(HKMG)工艺的重要组成部分。良好的模内均匀性有利于后续的钨蚀刻后工艺(WEB)具有均匀的模内蚀刻深度,适当的后CMP钨栅极表面性能将产生更薄的氧化钨表面,以减少WEB工艺负载。本研究证明了钨口CMP(WGCMP)可以通过选择浆料和适当的抛光后台阶CMP处理钨口表面来获得良好的模内浇口高度均匀性。由于钨的硬度高,抛光垫的硬度和浆料选择的磨料的硬度不应该是微划痕改善的差距,性能重点将放在模内均匀性和CMP后钨的表面性能上。在这项研究中,第一个结果表明,控制侵蚀是重要的模内浇口高度均匀性。WGCMP浆料的选择标准是高钨去除率和低氧化物去除率,这尤其导致了较低的侵蚀区图案密度。第二个结果表明,抛光后的化学抛光时间决定了钨的表面性能,并影响了WEB行为。
{"title":"Process development of replacement metal gate Tungsten chemical mechanical polishing on 14nm technology node and beyond","authors":"J. C. Lin, H. Liu, W. Lin, C. -. Lin, T. Hung, K. R. Li, J. F. Lin, J. Y. Wang, C. C. Liu, J. Y. Wu","doi":"10.1109/IITC-MAM.2015.7325634","DOIUrl":"https://doi.org/10.1109/IITC-MAM.2015.7325634","url":null,"abstract":"The control of gate height uniformity, especially within-die gate height uniformity, and metal gate surface properties of 14nm technology node replacement metal gate (RMG) chemical mechanical polishing is important for 14nm high-k metal gate (HKMG) process. Good within-die uniformity would benefit for the following Tungsten etching back process(WEB) to have a uniform within-die etching depth, and proper post CMP Tungsten gate surface properties would generate a thinner Tungsten oxide surface to reduce WEB process loading. This study demonstrated the possibility of Tungsten gate CMP(WGCMP) to obtain good within-die gate height uniformity by selection of slurry and proper Tungsten gate surface by post buffing step CMP treatment. Due to high hardness of Tungsten, hardness of polishing pad and abrasive of slurry selection should be not a gap for micro scratch improvement, what the performance focus would put on within-die uniformity and post CMP Tungsten surface properties. In this study, the first result showed the control of erosion was important for within-die gate height uniformity. The criteria of slurry selection for WGCMP were higher Tungsten removal rate and lower oxide removal rate which especially resulted in lower pattern density area of erosion. And the second result showed Chemical-A polish time of post-Tungsten buffing CMP would dominate the Tungsten surface properties and influence WEB behavior.","PeriodicalId":6514,"journal":{"name":"2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM)","volume":"233 1","pages":"115-118"},"PeriodicalIF":0.0,"publicationDate":"2015-05-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76975770","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
In-situ electrical characterization of Pt/NiO/Pt resistive memory elementary cells during FIB milling: A step towards electrical tomography of nanofilaments 在FIB铣削过程中,Pt/NiO/Pt电阻性记忆初级细胞的原位电学表征:迈向纳米细丝电断层扫描的一步
C. Guedj, G. Auvert, E. Martinez
Electrical characterization during FIB milling of an elementary Pt/NiO/Pt resistive memory cell is used to localize the conducting channels and to estimate the size and shape of the nanofilament. A good agreement is found with cross sectional high resolution Transmission Electron Microscopy images. This methodology is a potential tool to obtain in-operando electrical tomography of conducting paths with subnanometric spatial resolution.
在FIB铣削基本Pt/NiO/Pt电阻性记忆电池时,利用电特性来定位导电通道,并估计纳米丝的尺寸和形状。与高分辨率透射电镜的横断面图像有很好的一致性。这种方法是一种潜在的工具,可以获得亚纳米空间分辨率的导电路径的操作中电断层扫描。
{"title":"In-situ electrical characterization of Pt/NiO/Pt resistive memory elementary cells during FIB milling: A step towards electrical tomography of nanofilaments","authors":"C. Guedj, G. Auvert, E. Martinez","doi":"10.1109/IITC-MAM.2015.7325625","DOIUrl":"https://doi.org/10.1109/IITC-MAM.2015.7325625","url":null,"abstract":"Electrical characterization during FIB milling of an elementary Pt/NiO/Pt resistive memory cell is used to localize the conducting channels and to estimate the size and shape of the nanofilament. A good agreement is found with cross sectional high resolution Transmission Electron Microscopy images. This methodology is a potential tool to obtain in-operando electrical tomography of conducting paths with subnanometric spatial resolution.","PeriodicalId":6514,"journal":{"name":"2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM)","volume":"38 1","pages":"57-58"},"PeriodicalIF":0.0,"publicationDate":"2015-05-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77339940","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Aluminum-capped copper bond pads for ultrasonic heavy copper wire-bonding on power devices 用于电力设备上超声波重铜线连接的铝盖铜焊垫
David Gross, Sabine Haag, M. Reinold, M. Schneider-Ramelow, K. Lang
Thick electroplated Cu bond pads have lately been demonstrated to enable heavy Cu wire-bonding but the Cu oxides necessitate an additional cleaning step after the die-attach. To avoid such cleaning, the use of a thin Al layer is tested for its passivating ability on Cu bond pads and its suitability for the bonding process. Results show a significant improvement of the oxidation resistance and bonding performance.
厚的电镀铜键垫最近被证明可以实现重铜线键合,但铜氧化物需要在模接后进行额外的清洁步骤。为了避免这种清洗,测试了薄铝层在铜键焊盘上的钝化能力及其对键合过程的适用性。结果表明,复合材料的抗氧化性能和粘接性能均有显著提高。
{"title":"Aluminum-capped copper bond pads for ultrasonic heavy copper wire-bonding on power devices","authors":"David Gross, Sabine Haag, M. Reinold, M. Schneider-Ramelow, K. Lang","doi":"10.1109/IITC-MAM.2015.7325658","DOIUrl":"https://doi.org/10.1109/IITC-MAM.2015.7325658","url":null,"abstract":"Thick electroplated Cu bond pads have lately been demonstrated to enable heavy Cu wire-bonding but the Cu oxides necessitate an additional cleaning step after the die-attach. To avoid such cleaning, the use of a thin Al layer is tested for its passivating ability on Cu bond pads and its suitability for the bonding process. Results show a significant improvement of the oxidation resistance and bonding performance.","PeriodicalId":6514,"journal":{"name":"2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM)","volume":"10 1","pages":"233-236"},"PeriodicalIF":0.0,"publicationDate":"2015-05-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74278348","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Plasma etch challenges at 14nm and beyond technology nodes in the BEOL BEOL中14nm及以上技术节点的等离子蚀刻挑战
P. Brun, F. Bailly, M. Guillermet, E. Aparico, N. Possémé
With the constant scaling down in dimension, the metal hard mask strategy, integration of choice for porous SiOCH film integration, presents new issues that cannot not been neglected for the 14nm and beyond. These issues and associated solutions are presented from plasma etch point of view for the 14nm node.
随着尺寸的不断缩小,金属硬掩膜策略,多孔SiOCH薄膜集成的选择,提出了14nm及以后不可忽视的新问题。从14nm节点的等离子蚀刻角度提出了这些问题和相关的解决方案。
{"title":"Plasma etch challenges at 14nm and beyond technology nodes in the BEOL","authors":"P. Brun, F. Bailly, M. Guillermet, E. Aparico, N. Possémé","doi":"10.1109/IITC-MAM.2015.7325604","DOIUrl":"https://doi.org/10.1109/IITC-MAM.2015.7325604","url":null,"abstract":"With the constant scaling down in dimension, the metal hard mask strategy, integration of choice for porous SiOCH film integration, presents new issues that cannot not been neglected for the 14nm and beyond. These issues and associated solutions are presented from plasma etch point of view for the 14nm node.","PeriodicalId":6514,"journal":{"name":"2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM)","volume":"22 1","pages":"21-24"},"PeriodicalIF":0.0,"publicationDate":"2015-05-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74298472","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Advanced integrated metallization enables 3D-IC TSV scaling 先进的集成金属化使3D-IC TSV缩放
Jengyi Yu, S. Gopinath, P. Nalla, Matthew Thorum, L. Schloss, D. M. Anjos, Prashant Meshram, G. Harm, Joe Richardson, T. Mountsier
Innovative solutions have been developed to address the challenges of through-silicon via (TSV) metallization with small sizes and high aspect ratios. We demonstrate an advanced metallization scheme including conformal film depositions of metal barrier and seed with excellent sidewall coverage to achieve void-free Cu fill in small-size (10 to 1 μm) TSV with high aspect ratio (10:1 to 20:1). In addition, it reduces the field metal thickness to significantly lower the costs of metallization and subsequent CMP. TSVs fabricated using this new process integration scheme exhibited higher breakdown voltage and lower leakage current than those made with the conventional PVD barrier seed. No degradation in performance was observed after 400°C annealing and thermal cycling. The improved performance is attributed to the formation of pinhole-free metal barrier layer with excellent sidewall coverage.
创新的解决方案已经开发出来,以解决具有小尺寸和高纵横比的硅通孔(TSV)金属化的挑战。我们展示了一种先进的金属化方案,包括金属屏障和种子的保形膜沉积,具有良好的侧壁覆盖率,以实现高纵横比(10:1至20:1)的小尺寸(10至1 μm) TSV的无空隙铜填充。此外,它还减少了现场金属厚度,从而显著降低了金属化和后续CMP的成本。与传统的PVD势垒种子相比,采用这种工艺集成方案制备的tsv具有更高的击穿电压和更低的泄漏电流。经过400°C退火和热循环后,性能没有下降。性能的提高是由于形成了无针孔的金属阻挡层,具有良好的侧壁覆盖率。
{"title":"Advanced integrated metallization enables 3D-IC TSV scaling","authors":"Jengyi Yu, S. Gopinath, P. Nalla, Matthew Thorum, L. Schloss, D. M. Anjos, Prashant Meshram, G. Harm, Joe Richardson, T. Mountsier","doi":"10.1109/IITC-MAM.2015.7325620","DOIUrl":"https://doi.org/10.1109/IITC-MAM.2015.7325620","url":null,"abstract":"Innovative solutions have been developed to address the challenges of through-silicon via (TSV) metallization with small sizes and high aspect ratios. We demonstrate an advanced metallization scheme including conformal film depositions of metal barrier and seed with excellent sidewall coverage to achieve void-free Cu fill in small-size (10 to 1 μm) TSV with high aspect ratio (10:1 to 20:1). In addition, it reduces the field metal thickness to significantly lower the costs of metallization and subsequent CMP. TSVs fabricated using this new process integration scheme exhibited higher breakdown voltage and lower leakage current than those made with the conventional PVD barrier seed. No degradation in performance was observed after 400°C annealing and thermal cycling. The improved performance is attributed to the formation of pinhole-free metal barrier layer with excellent sidewall coverage.","PeriodicalId":6514,"journal":{"name":"2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM)","volume":"29 1","pages":"205-208"},"PeriodicalIF":0.0,"publicationDate":"2015-05-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85377307","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Nickel silicide for source-drain contacts from ALD NiO films 硅化镍用于ALD NiO薄膜的源极-漏极触点
V. Pore, E. Tois, R. Matero, S. Haukka, M. Tuominen, J. Woodruff, Brennan Milligan, F. Tang, M. Givens
In this work, we demonstrate the preparation of nickel monosilicide (NiSi) layers on silicon using a conformal NiO ALD process and thin sacrificial Ge interlayers. The interlayers protect the underlying Si from oxidizing during the NiO growth, while allowing for Ni diffusion during a silicidation anneal. The NiSi layers prepared have low amounts of impurities and near bulk resistivities, therefore making the processes promising candidates for applications in advanced semiconductor devices where high quality NiSi layers are needed, such as source-drain contacts. Good step coverage provided by ALD enables their use for example in non-planar transistors such as FinFETs and other multi-gate transistors with complex topographies.
在这项工作中,我们展示了使用保形NiO ALD工艺和薄牺牲Ge中间层在硅上制备单硅化镍(NiSi)层。在NiO生长过程中,中间层保护下层的Si不被氧化,同时允许Ni在硅化退火过程中扩散。制备的NiSi层具有低杂质量和接近体电阻率,因此使该工艺有希望应用于需要高质量NiSi层的先进半导体器件,例如源-漏触点。ALD提供的良好步长覆盖使其能够用于非平面晶体管,例如finfet和其他具有复杂拓扑结构的多栅极晶体管。
{"title":"Nickel silicide for source-drain contacts from ALD NiO films","authors":"V. Pore, E. Tois, R. Matero, S. Haukka, M. Tuominen, J. Woodruff, Brennan Milligan, F. Tang, M. Givens","doi":"10.1109/IITC-MAM.2015.7325617","DOIUrl":"https://doi.org/10.1109/IITC-MAM.2015.7325617","url":null,"abstract":"In this work, we demonstrate the preparation of nickel monosilicide (NiSi) layers on silicon using a conformal NiO ALD process and thin sacrificial Ge interlayers. The interlayers protect the underlying Si from oxidizing during the NiO growth, while allowing for Ni diffusion during a silicidation anneal. The NiSi layers prepared have low amounts of impurities and near bulk resistivities, therefore making the processes promising candidates for applications in advanced semiconductor devices where high quality NiSi layers are needed, such as source-drain contacts. Good step coverage provided by ALD enables their use for example in non-planar transistors such as FinFETs and other multi-gate transistors with complex topographies.","PeriodicalId":6514,"journal":{"name":"2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM)","volume":"41 1","pages":"191-194"},"PeriodicalIF":0.0,"publicationDate":"2015-05-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77669716","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1