首页 > 最新文献

电路与系统学报最新文献

英文 中文
10-MHz 60-dB dynamic-range 6-dB variable gain amplifier 10mhz 60db动态范围6db可变增益放大器
Pub Date : 1997-06-09 DOI: 10.1109/ISCAS.1997.608652
L.H.Y. Leung, A. Buchwald
This paper describes a CMOS 10-MHz Variable Gain Amplifier (VGA) with 60-dB dynamic-range. The gain is continuously tunable over a 6-dB range, with a minimum gain of unity and a maximum gain of two. Continuous gain tuning is accomplished by a novel method of interpolating between 10 taps of a feedback resistor, respectively connected to a fully-differential multi-input-stage operational amplifier. Measured results with a 10-MHz input show THD below -60-dB with a 4-V/sub pp/ differential output signal. Third-order inter-modulation distortion, for two equal amplitude tones at 9.5-MHz and 10.5-MHz, remains below -59.17-dBc over all gain settings. The circuit has been fabricated in a 0.8-/spl mu/m CMOS process (Hewlett Packard CMOS26G), occupies an area of 0.6/spl times/1-mm/sup 2/ and dissipates 80-mW.
本文介绍了一种动态范围为60db的CMOS 10mhz可变增益放大器(VGA)。增益在6 db范围内连续可调,最小增益为1,最大增益为2。连续增益调谐是通过一种新颖的方法,在反馈电阻的10个抽头之间进行插值,分别连接到一个全差分多输入级运算放大器。使用10 mhz输入的测量结果显示,在4 v /sub /差分输出信号下,THD低于-60 db。对于9.5 mhz和10.5 mhz的两个等振幅音调,三阶调制间失真在所有增益设置下保持在-59.17 dbc以下。该电路采用0.8-/spl mu/m CMOS工艺(Hewlett Packard CMOS26G)制造,占地面积为0.6/spl倍/1-mm/sup 2/,功耗为80 mw。
{"title":"10-MHz 60-dB dynamic-range 6-dB variable gain amplifier","authors":"L.H.Y. Leung, A. Buchwald","doi":"10.1109/ISCAS.1997.608652","DOIUrl":"https://doi.org/10.1109/ISCAS.1997.608652","url":null,"abstract":"This paper describes a CMOS 10-MHz Variable Gain Amplifier (VGA) with 60-dB dynamic-range. The gain is continuously tunable over a 6-dB range, with a minimum gain of unity and a maximum gain of two. Continuous gain tuning is accomplished by a novel method of interpolating between 10 taps of a feedback resistor, respectively connected to a fully-differential multi-input-stage operational amplifier. Measured results with a 10-MHz input show THD below -60-dB with a 4-V/sub pp/ differential output signal. Third-order inter-modulation distortion, for two equal amplitude tones at 9.5-MHz and 10.5-MHz, remains below -59.17-dBc over all gain settings. The circuit has been fabricated in a 0.8-/spl mu/m CMOS process (Hewlett Packard CMOS26G), occupies an area of 0.6/spl times/1-mm/sup 2/ and dissipates 80-mW.","PeriodicalId":68559,"journal":{"name":"电路与系统学报","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-06-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80570512","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Iterative procedure for designing the GenLOT GenLOT设计的迭代过程
Pub Date : 1997-06-09 DOI: 10.1109/ISCAS.1997.612879
T. Nagai, S. Tsutsui, M. Ikehara
In this research, we propose a new design method for the generalized linear-phase lapped orthogonal transforms (GenLOT). The GenLOT is based on the DCT and has fast implementation algorithm. Generally, the lattice structure is used for its design and implementation. Consequently, nonlinear optimization is required for its design process. In our proposed method, filter coefficients are optimized directly and this method requires one only to solve linear equations iteratively. Moreover, this algorithm converges within a few iterations.
在本研究中,我们提出了一种新的广义线性相位重叠正交变换(GenLOT)设计方法。GenLOT基于DCT,具有快速的实现算法。一般采用点阵结构进行设计和实现。因此,需要对其设计过程进行非线性优化。该方法直接优化滤波系数,只需要迭代求解线性方程。此外,该算法在几次迭代内收敛。
{"title":"Iterative procedure for designing the GenLOT","authors":"T. Nagai, S. Tsutsui, M. Ikehara","doi":"10.1109/ISCAS.1997.612879","DOIUrl":"https://doi.org/10.1109/ISCAS.1997.612879","url":null,"abstract":"In this research, we propose a new design method for the generalized linear-phase lapped orthogonal transforms (GenLOT). The GenLOT is based on the DCT and has fast implementation algorithm. Generally, the lattice structure is used for its design and implementation. Consequently, nonlinear optimization is required for its design process. In our proposed method, filter coefficients are optimized directly and this method requires one only to solve linear equations iteratively. Moreover, this algorithm converges within a few iterations.","PeriodicalId":68559,"journal":{"name":"电路与系统学报","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-06-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80571005","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A 1 mV resolution 10 MS/s rail-to-rail comparator in 0.5 /spl mu/m low-voltage CMOS digital process 0.5 /spl mu/m低压CMOS数字工艺中1 mV分辨率10 MS/s的轨对轨比较器
Pub Date : 1997-06-09 DOI: 10.1109/ISCAS.1997.608767
R. Rivoir, F. Maloberti
A new comparator architecture for high-resolution, rail-to-rail comparison at high-speed and low power dissipation is presented. The internal structure of the comparator permits one to overcome the technological constraints arising from a purely digital process. In particular, no precision capacitors are needed in this design. The newly developed circuit consists of three different gain stages before a dynamic latch. The first stage achieves a rail-to-rail operation owing to two distinct amplifiers working in parallel. The two outputs are separately offset compensated and then connected to a differential difference amplifier (DDA). A third high-swing stage, preceding a current-limited dynamic latch, is used to ensure a fast regeneration time. Particular care has been taken to limit not only the static, but also the dynamic power dissipation of the digital part. Circuit simulations on 0.5 /spl mu/m, 3.3 V single-supply CMOS digital technology show that this architecture can easily achieve a 10 MS/s speed, at the expense of only 165 /spl mu/A typical static current consumption.
提出了一种新的比较器结构,用于高分辨率、高速、低功耗的轨间比较。比较国的内部结构使人们能够克服纯数字过程所产生的技术限制。特别是,在这种设计中不需要精密电容器。新开发的电路在动态锁存器之前由三个不同的增益级组成。由于两个不同的放大器并联工作,第一阶段实现了轨对轨操作。两个输出分别进行偏置补偿,然后连接到差分放大器(DDA)。在限流动态锁存器之前的第三个高摆幅级用于确保快速再生时间。特别注意的是,不仅要限制静态功耗,还要限制数字部分的动态功耗。在0.5 /spl mu/m, 3.3 V单电源CMOS数字技术上的电路仿真表明,该架构可以轻松实现10 MS/s的速度,而典型静态电流消耗仅为165 /spl mu/ a。
{"title":"A 1 mV resolution 10 MS/s rail-to-rail comparator in 0.5 /spl mu/m low-voltage CMOS digital process","authors":"R. Rivoir, F. Maloberti","doi":"10.1109/ISCAS.1997.608767","DOIUrl":"https://doi.org/10.1109/ISCAS.1997.608767","url":null,"abstract":"A new comparator architecture for high-resolution, rail-to-rail comparison at high-speed and low power dissipation is presented. The internal structure of the comparator permits one to overcome the technological constraints arising from a purely digital process. In particular, no precision capacitors are needed in this design. The newly developed circuit consists of three different gain stages before a dynamic latch. The first stage achieves a rail-to-rail operation owing to two distinct amplifiers working in parallel. The two outputs are separately offset compensated and then connected to a differential difference amplifier (DDA). A third high-swing stage, preceding a current-limited dynamic latch, is used to ensure a fast regeneration time. Particular care has been taken to limit not only the static, but also the dynamic power dissipation of the digital part. Circuit simulations on 0.5 /spl mu/m, 3.3 V single-supply CMOS digital technology show that this architecture can easily achieve a 10 MS/s speed, at the expense of only 165 /spl mu/A typical static current consumption.","PeriodicalId":68559,"journal":{"name":"电路与系统学报","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-06-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83673380","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
Discrete B-spline wavelet method for semiconductor device simulation 半导体器件仿真的离散b样条小波方法
Pub Date : 1997-06-09 DOI: 10.1109/ISCAS.1997.608664
F. Chang, K. Pun
Wavelet Method has been found to be very effective in treating singularities due to its properties of localization both in time/spatial and frequency domains. Singularities exist in the simulation of semiconductor devices. We now introduce the Discrete B-spline wavelet method for semiconductor devices simulation. Starting from the governing equations of semiconductor devices, the wavelet basis is used to solve these nonlinear ordinary differential equations. We find it much better than conventional finite difference methods in both computational time and accuracy. As an example, the steady state response of an abrupt P-N junction diode demonstrates this effectiveness.
由于小波方法在时间/空间和频率域的局域性,它是一种非常有效的处理奇异点的方法。奇点存在于半导体器件的仿真中。现在我们介绍离散b样条小波方法用于半导体器件的仿真。从半导体器件的控制方程出发,利用小波基求解这些非线性常微分方程。结果表明,该方法在计算时间和精度上都优于传统的有限差分方法。作为一个例子,一个突变pn结二极管的稳态响应证明了这种有效性。
{"title":"Discrete B-spline wavelet method for semiconductor device simulation","authors":"F. Chang, K. Pun","doi":"10.1109/ISCAS.1997.608664","DOIUrl":"https://doi.org/10.1109/ISCAS.1997.608664","url":null,"abstract":"Wavelet Method has been found to be very effective in treating singularities due to its properties of localization both in time/spatial and frequency domains. Singularities exist in the simulation of semiconductor devices. We now introduce the Discrete B-spline wavelet method for semiconductor devices simulation. Starting from the governing equations of semiconductor devices, the wavelet basis is used to solve these nonlinear ordinary differential equations. We find it much better than conventional finite difference methods in both computational time and accuracy. As an example, the steady state response of an abrupt P-N junction diode demonstrates this effectiveness.","PeriodicalId":68559,"journal":{"name":"电路与系统学报","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-06-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81036081","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
/spl beta/-operator: an adaptive discrete-time operator model for high sampling rate recursive digital filters /spl beta/-operator:用于高采样率递归数字滤波器的自适应离散时间算子模型
Pub Date : 1997-06-09 DOI: 10.1109/ISCAS.1997.612790
M. Moniri
This paper introduces an adaptive discrete time operator called the /spl beta/-operator for the design of high sampling rate recursive digital filters. It is shown that this operator is superior to the z-operator and,can also provide better numerical advantages compared with the /spl delta/-operator. In fact the /spl delta/-operator is only a special case of the /spl beta/-operator. Simulation examples are given comparing between different operators.
本文介绍了一种用于设计高采样率递归数字滤波器的自适应离散时间算子/spl β /-算子。结果表明,该算子优于z算子,与/spl δ /-算子相比,也能提供更好的数值优势。事实上,/spl delta/-运算符只是/spl beta/-运算符的一个特例。并给出了仿真实例,对不同的操作方法进行了比较。
{"title":"/spl beta/-operator: an adaptive discrete-time operator model for high sampling rate recursive digital filters","authors":"M. Moniri","doi":"10.1109/ISCAS.1997.612790","DOIUrl":"https://doi.org/10.1109/ISCAS.1997.612790","url":null,"abstract":"This paper introduces an adaptive discrete time operator called the /spl beta/-operator for the design of high sampling rate recursive digital filters. It is shown that this operator is superior to the z-operator and,can also provide better numerical advantages compared with the /spl delta/-operator. In fact the /spl delta/-operator is only a special case of the /spl beta/-operator. Simulation examples are given comparing between different operators.","PeriodicalId":68559,"journal":{"name":"电路与系统学报","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-06-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88612669","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A graph-theoretic sufficient condition for FPGA/FPIC switch-module routability FPGA/FPIC交换模块可达性的图论充分条件
Pub Date : 1997-06-09 DOI: 10.1109/ISCAS.1997.621430
Yao-Wen Chang, D. F. Wong, Cheng-Chi Wong
Switch modules are the most important component of the routing resources in FPGAs/FPICs. We consider in this paper an FPGA/FPIC switch-module analyse's problem: The inputs consist of a switch-module description and the number of nets required to be routed through the switch module; the question is to determine if there exists a feasible routing for the routing requirements on the switch module. This problem is applicable to the routability evaluation of FPGA/FPIC switch modules, the switch-module design for FPGAs/FPICs, and FPGA/FPIC routing. We present a graph-theoretic sufficient condition for the analyse's problem. The implications of the condition are: (1) there exist several classes of efficient approximation algorithms for the analysis problem; (2) there exist several classes of switch-module architectures on which the analysis problem can be solved efficiently.
交换模块是fpga / fpic中最重要的路由资源组成部分。本文考虑了FPGA/FPIC交换模块分析的问题:输入由交换模块描述和需要通过交换模块路由的网络数量组成;问题是确定交换模块上是否存在满足路由需求的可行路由。该问题适用于FPGA/FPIC交换模块的可达性评估、FPGA/FPIC交换模块的设计以及FPGA/FPIC路由。给出了分析问题的一个图论充分条件。该条件的含义是:(1)对于分析问题存在几类有效的近似算法;(2)有几种交换模块体系结构可以有效地解决分析问题。
{"title":"A graph-theoretic sufficient condition for FPGA/FPIC switch-module routability","authors":"Yao-Wen Chang, D. F. Wong, Cheng-Chi Wong","doi":"10.1109/ISCAS.1997.621430","DOIUrl":"https://doi.org/10.1109/ISCAS.1997.621430","url":null,"abstract":"Switch modules are the most important component of the routing resources in FPGAs/FPICs. We consider in this paper an FPGA/FPIC switch-module analyse's problem: The inputs consist of a switch-module description and the number of nets required to be routed through the switch module; the question is to determine if there exists a feasible routing for the routing requirements on the switch module. This problem is applicable to the routability evaluation of FPGA/FPIC switch modules, the switch-module design for FPGAs/FPICs, and FPGA/FPIC routing. We present a graph-theoretic sufficient condition for the analyse's problem. The implications of the condition are: (1) there exist several classes of efficient approximation algorithms for the analysis problem; (2) there exist several classes of switch-module architectures on which the analysis problem can be solved efficiently.","PeriodicalId":68559,"journal":{"name":"电路与系统学报","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-06-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88784444","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Theory and test procedure for symmetries in the frequency response of complex two-dimensional delta operator formulated discrete-time systems 复杂二维delta算符的离散时间系统的频率响应对称性的理论和测试程序
Pub Date : 1997-06-09 DOI: 10.1109/ISCAS.1997.612800
H. C. Reddy, I-Hung Khoo, G. Moschytz, A. Stubberud
This paper provides the theory and an efficient tabular algorithm to test for various symmetries in the magnitude response of two-dimensional (2-D) complex-coefficient delta operator formulated discrete-time systems. In general, centro symmetry is not preserved in the complex case. The conditions under which this is preserved is discussed in the paper. It is to be noted that as the sampling period (/spl Delta/) goes to zero, the symmetry conditions merge with that of 2-D continuous-time case.
本文提供了一个理论和一个有效的表格算法来测试二维(2-D)复系数delta算符表述的离散时间系统的震级响应的各种对称性。一般来说,中心对称在复情况下是不保留的。本文讨论了它的保存条件。值得注意的是,当采样周期(/spl Delta/)趋近于零时,对称条件与二维连续时间情况的对称条件合并。
{"title":"Theory and test procedure for symmetries in the frequency response of complex two-dimensional delta operator formulated discrete-time systems","authors":"H. C. Reddy, I-Hung Khoo, G. Moschytz, A. Stubberud","doi":"10.1109/ISCAS.1997.612800","DOIUrl":"https://doi.org/10.1109/ISCAS.1997.612800","url":null,"abstract":"This paper provides the theory and an efficient tabular algorithm to test for various symmetries in the magnitude response of two-dimensional (2-D) complex-coefficient delta operator formulated discrete-time systems. In general, centro symmetry is not preserved in the complex case. The conditions under which this is preserved is discussed in the paper. It is to be noted that as the sampling period (/spl Delta/) goes to zero, the symmetry conditions merge with that of 2-D continuous-time case.","PeriodicalId":68559,"journal":{"name":"电路与系统学报","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-06-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87283232","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
A simple method to design resonant circuits of electronic ballast for fluorescent lamps 荧光灯电子镇流器谐振电路的一种简单设计方法
Pub Date : 1997-06-09 DOI: 10.1109/ISCAS.1997.621481
Z. Li, P. Mok, W. Ki, Johnny K. O. Sin
A simple design method has been developed to investigate the dimming control of electronic ballast circuits for fluorescent lamps. This method is based on the steady-state calculation of the ballast at resonant frequency, f/sub 0/, and the inherent characteristics of the fluorescent lamp, i.e. the relationship between the lamp resistance and the lamp power. The inherent lamp characteristics encompass the fact that the voltage across the lamp varies with the lamp power. Including this inherent characteristic into a new lamp Spice simulation model can provide an accurate simulation result of the relations between the ballast operation frequency and the lamp power.
提出了一种简单的设计方法来研究荧光灯电子镇流器电路的调光控制。该方法基于谐振频率f/sub 0/时镇流器的稳态计算,以及荧光灯的固有特性,即灯电阻与灯功率的关系。固有的灯管特性包括灯管两端的电压随灯管功率变化的事实。将这一固有特性纳入新的灯具Spice仿真模型中,可以提供准确的镇流器工作频率与灯具功率关系的仿真结果。
{"title":"A simple method to design resonant circuits of electronic ballast for fluorescent lamps","authors":"Z. Li, P. Mok, W. Ki, Johnny K. O. Sin","doi":"10.1109/ISCAS.1997.621481","DOIUrl":"https://doi.org/10.1109/ISCAS.1997.621481","url":null,"abstract":"A simple design method has been developed to investigate the dimming control of electronic ballast circuits for fluorescent lamps. This method is based on the steady-state calculation of the ballast at resonant frequency, f/sub 0/, and the inherent characteristics of the fluorescent lamp, i.e. the relationship between the lamp resistance and the lamp power. The inherent lamp characteristics encompass the fact that the voltage across the lamp varies with the lamp power. Including this inherent characteristic into a new lamp Spice simulation model can provide an accurate simulation result of the relations between the ballast operation frequency and the lamp power.","PeriodicalId":68559,"journal":{"name":"电路与系统学报","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-06-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84699264","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
A new BiCMOS increased full swing converter for low-internal-voltage ULSI systems 一种用于低内压ULSI系统的新型BiCMOS增加全摆幅变换器
Pub Date : 1997-06-09 DOI: 10.1109/ISCAS.1997.621510
Ching-Sung Wang, Shih-Yi Yuan, Ke-Horng Chen, S. Kuo
In this paper a new BiCMOS increased full swing inverter (IFSI) and a new BiCMOS increased full swing buffer (IFSB) for low voltage/low power ULSI (Ultra Large Scale Integration) systems are proposed. These circuits can operate at low internal voltage (V/sub int/) and have low input signal swing. As long as V/sub int/>|V/sub t/| (assuming V/sub tn/=-V/sub tp/), the circuits can work properly. The proposed BiCMOS IFSC circuits are suitable for high-speed operations. When the capacitor load is larger than 0.6 pf; the propagation delay and the delay power product at different internal voltages are better than previous circuits under the same circuit design parameters. We also establish the relationship between the Kr=Kn/Kp ratio and the circuit area. This can avoid the trial and error step in the circuit sizing operation to reduce the power consumption.
本文提出了一种适用于低电压/低功耗超大型集成电路(ULSI)系统的新型BiCMOS增强型全摆幅逆变器(IFSI)和新型BiCMOS增强型全摆幅缓冲器(IFSB)。这些电路可以在低内部电压(V/sub / int/)下工作,并且具有低输入信号摆幅。只要V/sub int/>|V/sub t/|(假设V/sub tn/=-V/sub tp/),电路就能正常工作。所提出的BiCMOS IFSC电路适用于高速操作。当电容负载大于0.6 pf时;在相同的电路设计参数下,不同内部电压下的传播延迟和延迟功率积都优于以往的电路。我们还建立了Kr=Kn/Kp比值与电路面积的关系。这可以避免在电路尺寸操作的试错步骤,以减少功耗。
{"title":"A new BiCMOS increased full swing converter for low-internal-voltage ULSI systems","authors":"Ching-Sung Wang, Shih-Yi Yuan, Ke-Horng Chen, S. Kuo","doi":"10.1109/ISCAS.1997.621510","DOIUrl":"https://doi.org/10.1109/ISCAS.1997.621510","url":null,"abstract":"In this paper a new BiCMOS increased full swing inverter (IFSI) and a new BiCMOS increased full swing buffer (IFSB) for low voltage/low power ULSI (Ultra Large Scale Integration) systems are proposed. These circuits can operate at low internal voltage (V/sub int/) and have low input signal swing. As long as V/sub int/>|V/sub t/| (assuming V/sub tn/=-V/sub tp/), the circuits can work properly. The proposed BiCMOS IFSC circuits are suitable for high-speed operations. When the capacitor load is larger than 0.6 pf; the propagation delay and the delay power product at different internal voltages are better than previous circuits under the same circuit design parameters. We also establish the relationship between the Kr=Kn/Kp ratio and the circuit area. This can avoid the trial and error step in the circuit sizing operation to reduce the power consumption.","PeriodicalId":68559,"journal":{"name":"电路与系统学报","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-06-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80649033","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Determination of synchronous machine parameters using network synthesis techniques 用网络综合技术测定同步机参数
Pub Date : 1997-06-09 DOI: 10.1109/ISCAS.1997.621872
J. Verbeeck, R. Pintelon, P. Guillaume
This paper deals with the problem of the determination of synchronous machine parameters starting from two-port information. Network synthesis techniques are used to show that no unique solution can be found for models containing more than one damper winding. Only a limited number of parameters can be determined in a unique way from two-port information.
本文研究了从双端口信息出发确定同步电机参数的问题。使用网络综合技术表明,对于包含多个阻尼器绕组的模型,不可能找到唯一解。只能以一种独特的方式从双端口信息中确定有限数量的参数。
{"title":"Determination of synchronous machine parameters using network synthesis techniques","authors":"J. Verbeeck, R. Pintelon, P. Guillaume","doi":"10.1109/ISCAS.1997.621872","DOIUrl":"https://doi.org/10.1109/ISCAS.1997.621872","url":null,"abstract":"This paper deals with the problem of the determination of synchronous machine parameters starting from two-port information. Network synthesis techniques are used to show that no unique solution can be found for models containing more than one damper winding. Only a limited number of parameters can be determined in a unique way from two-port information.","PeriodicalId":68559,"journal":{"name":"电路与系统学报","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-06-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89246509","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
期刊
电路与系统学报
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1