首页 > 最新文献

International Symposium on Quality Electronic Design (ISQED)最新文献

英文 中文
Thermal-aware semi-dynamic power management for multicore systems with energy harvesting 具有能量收集的多核系统的热感知半动态电源管理
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523675
Yi Xiang, S. Pasricha
In this paper, we focus on power and thermal management for multicore embedded systems with solar energy harvesting as the power source and a periodic hard real-time task set as the workload. We design a novel semi-dynamic scheme, which reschedules tasks at the beginning of specified time epochs. By rejecting job instances of certain tasks until the next rescheduling point, our scheduler dispatches a subset of tasks that comply with the predicted energy budget and thermal conditions. Our approach reacts to run-time energy harvesting power variation without losing the consistency of the periodic task set, which helps to scale processor speed evenly by utilizing slack time efficiently without the need for complex slack reclamation algorithms, as in prior work. When applied to a multicore platform, our approach offers a chance to shut down cores and reassign tasks for superior energy efficiency. As a result, experimental results show up to 70% miss rate reduction compared to prior work. Unlike any prior work, our approach also integrates thermal management to reduce peak temperature while minimizing miss rate for energy harvesting embedded systems.
本文主要研究以太阳能收集为电源,以定期硬实时任务集为工作负载的多核嵌入式系统的电源和热管理。我们设计了一种新颖的半动态调度方案,在指定的时间点开始重新调度任务。通过拒绝某些任务的作业实例直到下一个重调度点,我们的调度器调度符合预测的能量预算和热条件的任务子集。我们的方法对运行时能量收集功率的变化做出反应,而不会失去周期性任务集的一致性,这有助于通过有效地利用空闲时间来均匀地扩展处理器速度,而不需要像之前的工作那样使用复杂的空闲回收算法。当应用于多核平台时,我们的方法提供了关闭核心并重新分配任务的机会,以获得更高的能源效率。实验结果表明,与之前的工作相比,脱靶率降低了70%。与以往的工作不同,我们的方法还集成了热管理,以降低峰值温度,同时最大限度地减少能量收集嵌入式系统的失误率。
{"title":"Thermal-aware semi-dynamic power management for multicore systems with energy harvesting","authors":"Yi Xiang, S. Pasricha","doi":"10.1109/ISQED.2013.6523675","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523675","url":null,"abstract":"In this paper, we focus on power and thermal management for multicore embedded systems with solar energy harvesting as the power source and a periodic hard real-time task set as the workload. We design a novel semi-dynamic scheme, which reschedules tasks at the beginning of specified time epochs. By rejecting job instances of certain tasks until the next rescheduling point, our scheduler dispatches a subset of tasks that comply with the predicted energy budget and thermal conditions. Our approach reacts to run-time energy harvesting power variation without losing the consistency of the periodic task set, which helps to scale processor speed evenly by utilizing slack time efficiently without the need for complex slack reclamation algorithms, as in prior work. When applied to a multicore platform, our approach offers a chance to shut down cores and reassign tasks for superior energy efficiency. As a result, experimental results show up to 70% miss rate reduction compared to prior work. Unlike any prior work, our approach also integrates thermal management to reduce peak temperature while minimizing miss rate for energy harvesting embedded systems.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129377917","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Impact of conventional and emerging interconnects on the circuit performance of various post-CMOS devices 传统互连和新兴互连对各种后cmos器件电路性能的影响
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523611
A. Ceyhan, A. Naeemi
The trade-offs between the technology parameters of various interconnect technologies are investigated on the basis of their impacts on the circuit performances of emerging post-CMOS devices. In this paper, carbon nanotube field-effect transistor (CNFET), nanowire-based gate-all-around (GAA) tunneling field-effect transistor (TFET), FinFET and sub-threshold CMOS circuits are studied. Each of these devices are paired with the conventional Cu/low-k interconnect, single-wall carbon nanotube (SWNT) interconnect manufactured in horizontal bundles or in a single layer, and multi-layer graphene nanoribbon (GNR) interconnect. The relative performances of all these interconnect technologies with each type of device are evaluated. The interconnect technology option that gives the best performance in terms of circuit delay, energy-per-bit and energy-delay product (EDP) is reported for each of the device technologies.
基于对新兴后cmos器件电路性能的影响,研究了各种互连技术参数之间的权衡。本文研究了碳纳米管场效应晶体管(CNFET)、基于纳米线的栅极全能(GAA)隧道场效应晶体管(TFET)、FinFET和亚阈值CMOS电路。每种器件都与传统的Cu/低k互连、水平束或单层制造的单壁碳纳米管(SWNT)互连和多层石墨烯纳米带(GNR)互连配对。评估了所有这些互连技术与每种类型器件的相对性能。对于每种器件技术,报告了在电路延迟、每比特能量和能量延迟产品(EDP)方面提供最佳性能的互连技术选项。
{"title":"Impact of conventional and emerging interconnects on the circuit performance of various post-CMOS devices","authors":"A. Ceyhan, A. Naeemi","doi":"10.1109/ISQED.2013.6523611","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523611","url":null,"abstract":"The trade-offs between the technology parameters of various interconnect technologies are investigated on the basis of their impacts on the circuit performances of emerging post-CMOS devices. In this paper, carbon nanotube field-effect transistor (CNFET), nanowire-based gate-all-around (GAA) tunneling field-effect transistor (TFET), FinFET and sub-threshold CMOS circuits are studied. Each of these devices are paired with the conventional Cu/low-k interconnect, single-wall carbon nanotube (SWNT) interconnect manufactured in horizontal bundles or in a single layer, and multi-layer graphene nanoribbon (GNR) interconnect. The relative performances of all these interconnect technologies with each type of device are evaluated. The interconnect technology option that gives the best performance in terms of circuit delay, energy-per-bit and energy-delay product (EDP) is reported for each of the device technologies.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116371474","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
System-level optimization and benchmarking for InAs nanowire based gate-all-around tunneling FETs 基于InAs纳米线的栅极全隧穿场效应管的系统级优化与基准测试
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523610
C. Pan, A. Ceyhan, A. Naeemi
The ON/OFF current and input capacitance of InAs nanowire based gate-all-around (GAA) tunnel FETs are modeled. Based on the device- and system-level models, optimization has been done and comparison has been made between TFETs and CMOS devices under different constraints for both single- and multi-core processors. Several performance metrics have been analyzed, which shows that optimal numbers of cores, power density and die size area exist for maximizing various design targets.
建立了基于InAs纳米线的栅极全能(GAA)隧道场效应管的通/关电流和输入电容模型。基于器件级和系统级模型,对tfet和CMOS器件在不同约束条件下的单核和多核处理器性能进行了优化和比较。分析了几种性能指标,表明存在最优的核数、功率密度和芯片尺寸面积,以最大化各种设计目标。
{"title":"System-level optimization and benchmarking for InAs nanowire based gate-all-around tunneling FETs","authors":"C. Pan, A. Ceyhan, A. Naeemi","doi":"10.1109/ISQED.2013.6523610","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523610","url":null,"abstract":"The ON/OFF current and input capacitance of InAs nanowire based gate-all-around (GAA) tunnel FETs are modeled. Based on the device- and system-level models, optimization has been done and comparison has been made between TFETs and CMOS devices under different constraints for both single- and multi-core processors. Several performance metrics have been analyzed, which shows that optimal numbers of cores, power density and die size area exist for maximizing various design targets.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132992583","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A co-synthesis methodology for power delivery and data interconnection networks in 3D ICs 三维集成电路中电力传输和数据互连网络的协同综合方法
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523593
N. Kapadia, S. Pasricha
A stable voltage supply is critical for multiprocessor system-on-chips (MPSoCs) to operate at near-optimal performance levels. The problem of IR drops in a Power Delivery Network (PDN) is very severe in 3D MPSoCs with network-on-chip (NoC) fabrics where the current in the PDN increases proportionally with the number of device layers. At the same time, with the increasing core counts in today's power-hungry MPSoCs, the already hard problem of voltage island-aware Network-on-Chip (NoC) design has become even more challenging. Even though the PDN and NoC design goals are non-overlapping, both the optimizations are interdependent. Unfortunately, designers today seldom consider design of the PDN while synthesizing NoCs. In this work, for the first time, we propose a novel system-level co-synthesis methodology that minimizes 3D NoC energy while meeting performance goals; and simultaneously optimizes the 3D PDN design while satisfying IR-drop constraints. Our experimental results show that the proposed co-synthesis methodology meets IR-drop constraints while minimizing energy consumption for several real-world applications, improving upon results from traditional system-level methodologies that perform PDN design and NoC synthesis separately.
稳定的电压供应对于多处理器片上系统(mpsoc)在接近最佳性能水平下工作至关重要。在采用片上网络(NoC)结构的3D mpsoc中,功率传输网络(PDN)中的IR下降问题非常严重,其中PDN中的电流随着器件层数的增加而成比例地增加。与此同时,随着当今耗电的mpsoc中核心数量的增加,电压岛感知片上网络(NoC)设计的难题变得更加具有挑战性。尽管PDN和NoC的设计目标不重叠,但这两种优化是相互依赖的。不幸的是,今天的设计人员在合成noc时很少考虑PDN的设计。在这项工作中,我们首次提出了一种新的系统级协同合成方法,可以在满足性能目标的同时最大限度地减少3D NoC能量;并在满足IR-drop约束的同时优化了3D PDN设计。我们的实验结果表明,所提出的协同合成方法满足ir下降约束,同时最大限度地减少了几种实际应用的能耗,改进了分别执行PDN设计和NoC合成的传统系统级方法的结果。
{"title":"A co-synthesis methodology for power delivery and data interconnection networks in 3D ICs","authors":"N. Kapadia, S. Pasricha","doi":"10.1109/ISQED.2013.6523593","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523593","url":null,"abstract":"A stable voltage supply is critical for multiprocessor system-on-chips (MPSoCs) to operate at near-optimal performance levels. The problem of IR drops in a Power Delivery Network (PDN) is very severe in 3D MPSoCs with network-on-chip (NoC) fabrics where the current in the PDN increases proportionally with the number of device layers. At the same time, with the increasing core counts in today's power-hungry MPSoCs, the already hard problem of voltage island-aware Network-on-Chip (NoC) design has become even more challenging. Even though the PDN and NoC design goals are non-overlapping, both the optimizations are interdependent. Unfortunately, designers today seldom consider design of the PDN while synthesizing NoCs. In this work, for the first time, we propose a novel system-level co-synthesis methodology that minimizes 3D NoC energy while meeting performance goals; and simultaneously optimizes the 3D PDN design while satisfying IR-drop constraints. Our experimental results show that the proposed co-synthesis methodology meets IR-drop constraints while minimizing energy consumption for several real-world applications, improving upon results from traditional system-level methodologies that perform PDN design and NoC synthesis separately.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126321375","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
A versatile rail to rail current mode instrumentation amplifier with an embedded band-pass filter for bio-potential signal conditioning 一种多用途轨对轨电流模式仪表放大器,带有嵌入式带通滤波器,用于生物电位信号调理
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523685
A. Anvesha, M. Baghini
A novel ultra low power, area-efficient, current-mode instrumentation amplifier (CMIA) with embedded bandpass filter, for acquisition of bio-potential signals is presented. A novel bias front-end is also presented, which achieves CMRR of 195dB at 1Hz in the presence of ±5% component mismatch. The CMIA has a tunable bandwidth, from 160Hz to 7.2kHz with input referred rms noise of 2.24 μV in frequency band of 5mHz to 160Hz. The CMIA is designed in 180nm mixed-mode CMOS technology and provides rail to rail output voltage of 1.65V(p-p) while dissipating 39 μW, at 1.8V supply voltage. The output of CMIA doesn't need any further signal conditioning.
提出了一种新型的超低功耗、面积高效、内置带通滤波器的电流型仪表放大器(CMIA),用于采集生物电位信号。提出了一种新的偏置前端,在±5%分量失配的情况下,在1Hz时实现了195dB的CMRR。CMIA具有160Hz ~ 7.2kHz的可调带宽,在5mHz ~ 160Hz频段内输入参考有效值噪声为2.24 μV。CMIA采用180nm混合模CMOS技术设计,在1.8V电源电压下,输出电压为1.65V(p-p),功耗为39 μW。CMIA的输出不需要进一步的信号调理。
{"title":"A versatile rail to rail current mode instrumentation amplifier with an embedded band-pass filter for bio-potential signal conditioning","authors":"A. Anvesha, M. Baghini","doi":"10.1109/ISQED.2013.6523685","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523685","url":null,"abstract":"A novel ultra low power, area-efficient, current-mode instrumentation amplifier (CMIA) with embedded bandpass filter, for acquisition of bio-potential signals is presented. A novel bias front-end is also presented, which achieves CMRR of 195dB at 1Hz in the presence of ±5% component mismatch. The CMIA has a tunable bandwidth, from 160Hz to 7.2kHz with input referred rms noise of 2.24 μV in frequency band of 5mHz to 160Hz. The CMIA is designed in 180nm mixed-mode CMOS technology and provides rail to rail output voltage of 1.65V(p-p) while dissipating 39 μW, at 1.8V supply voltage. The output of CMIA doesn't need any further signal conditioning.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133494131","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Resource allocation and consolidation in a multi-core server cluster using a Markov decision process model 使用马尔可夫决策过程模型的多核服务器集群中的资源分配和整合
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523677
Yanzhi Wang, Shuang Chen, H. Goudarzi, Massoud Pedram
Distributed computing systems have attracted a lot of attention due to increasing demand for high performance computing and storage. Resource allocation is one of the most important challenges in the distributed systems especially when the clients have some Service Level Agreements (SLAs) and the total profit depends on how the system can meet these SLAs. In this paper, an SLA-based resource allocation problem in a server cluster is considered. The objective is to maximize the total profit, which is the total price gained from serving the clients subtracted by the operation cost of the server cluster. The total price depends on the average request response time for each client as defined in their utility functions, while the operating cost is related to the total energy consumption. A joint optimization framework is proposed, comprised of request dispatching, dynamic voltage and frequency scaling (DVFS) for individual cores, as well as server-level and core-level consolidations. Each core in the cluster is modeled using a continuous-time Markov decision process (CTMDP). A near-optimal hierarchical solution is proposed, consisting of a central manager and distributed local agents. Each local agent employs linear programming-based CTMDP solving method to solve the DVFS problem for the corresponding core. The central manager solves the request dispatching problem and finds the optimal number of turned on cores and servers for request processing, thereby achieving a desirable tradeoff between service request response time and power consumption. Experimental results demonstrate that the proposed near-optimal resource allocation and consolidation algorithm consistently outperforms baseline algorithms.
由于对高性能计算和存储的需求日益增长,分布式计算系统引起了人们的广泛关注。资源分配是分布式系统中最重要的挑战之一,特别是当客户端有一些服务水平协议(sla),而总利润取决于系统如何满足这些sla时。本文研究了服务器集群中基于sla的资源分配问题。目标是使总利润最大化,即服务客户获得的总价格减去服务器集群的运营成本。总价格取决于每个客户的平均请求响应时间(在其效用函数中定义),而运营成本与总能耗相关。提出了一个联合优化框架,包括请求调度、单个核的动态电压和频率缩放(DVFS)以及服务器级和核心级合并。集群中的每个核心都使用连续时间马尔可夫决策过程(CTMDP)建模。提出了一种近似最优的分层解决方案,该方案由一个中央管理器和分布式本地代理组成。每个局部代理采用基于线性规划的CTMDP求解方法求解相应核心的DVFS问题。中央管理器解决请求调度问题,并找到用于处理请求的核心和服务器的最优数量,从而在服务请求响应时间和功耗之间实现理想的权衡。实验结果表明,本文提出的近最优资源分配和整合算法始终优于基线算法。
{"title":"Resource allocation and consolidation in a multi-core server cluster using a Markov decision process model","authors":"Yanzhi Wang, Shuang Chen, H. Goudarzi, Massoud Pedram","doi":"10.1109/ISQED.2013.6523677","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523677","url":null,"abstract":"Distributed computing systems have attracted a lot of attention due to increasing demand for high performance computing and storage. Resource allocation is one of the most important challenges in the distributed systems especially when the clients have some Service Level Agreements (SLAs) and the total profit depends on how the system can meet these SLAs. In this paper, an SLA-based resource allocation problem in a server cluster is considered. The objective is to maximize the total profit, which is the total price gained from serving the clients subtracted by the operation cost of the server cluster. The total price depends on the average request response time for each client as defined in their utility functions, while the operating cost is related to the total energy consumption. A joint optimization framework is proposed, comprised of request dispatching, dynamic voltage and frequency scaling (DVFS) for individual cores, as well as server-level and core-level consolidations. Each core in the cluster is modeled using a continuous-time Markov decision process (CTMDP). A near-optimal hierarchical solution is proposed, consisting of a central manager and distributed local agents. Each local agent employs linear programming-based CTMDP solving method to solve the DVFS problem for the corresponding core. The central manager solves the request dispatching problem and finds the optimal number of turned on cores and servers for request processing, thereby achieving a desirable tradeoff between service request response time and power consumption. Experimental results demonstrate that the proposed near-optimal resource allocation and consolidation algorithm consistently outperforms baseline algorithms.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134261586","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 29
Suspicious timing error prediction with in-cycle clock gating 周期内时钟门控的可疑定时误差预测
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523631
Youhua Shi, Hiroaki Igarashi, N. Togawa, M. Yanagisawa
Conventionally, circuits are designed to add pessimistic timing margin to solve delay variation problems, which guarantees “always correct” operations. However, due to the fact that such a worst-case condition occurs rarely, the traditional pessimistic design method is therefore becoming one of the main obstacles for designers to achieve higher performance and/or ultra-low power consumption. By monitoring timing error occurrence during circuit operation, adaptive timing error detection and recovery methods have gained wide interests recently as a promising solution. As an extension of existing research, in this paper, we propose a suspicious timing error prediction method for performance or energy efficiency improvement in pipeline designs. Experimental results show that with when compared with typical margin designs, the proposed method can 1) achieve up to 1.41X throughput improvement with in-situ timing error prediction ability; and 2) allow the design to be overclocked by up to 1.88X with “always correct” outputs.
传统的电路设计是通过增加悲观时间裕度来解决延迟变化问题,从而保证“永远正确”的操作。然而,由于这种最坏情况很少发生,传统的悲观设计方法因此成为设计师实现更高性能和/或超低功耗的主要障碍之一。通过监测电路运行过程中时序误差的发生,自适应时序误差检测和恢复方法作为一种很有前途的解决方案,近年来得到了广泛的关注。作为现有研究的延伸,本文提出了一种用于管道设计性能或能效改进的可疑时序误差预测方法。实验结果表明,与典型余量设计相比,该方法可将吞吐量提高1.41倍,并具有原位定时误差预测能力;2)允许设计超频高达1.88X与“始终正确”输出。
{"title":"Suspicious timing error prediction with in-cycle clock gating","authors":"Youhua Shi, Hiroaki Igarashi, N. Togawa, M. Yanagisawa","doi":"10.1109/ISQED.2013.6523631","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523631","url":null,"abstract":"Conventionally, circuits are designed to add pessimistic timing margin to solve delay variation problems, which guarantees “always correct” operations. However, due to the fact that such a worst-case condition occurs rarely, the traditional pessimistic design method is therefore becoming one of the main obstacles for designers to achieve higher performance and/or ultra-low power consumption. By monitoring timing error occurrence during circuit operation, adaptive timing error detection and recovery methods have gained wide interests recently as a promising solution. As an extension of existing research, in this paper, we propose a suspicious timing error prediction method for performance or energy efficiency improvement in pipeline designs. Experimental results show that with when compared with typical margin designs, the proposed method can 1) achieve up to 1.41X throughput improvement with in-situ timing error prediction ability; and 2) allow the design to be overclocked by up to 1.88X with “always correct” outputs.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115577989","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 15
Analysis and reliability test to improve the data retention performance of EPROM circuits 提高EPROM电路数据保留性能的分析与可靠性试验
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523622
Jiyuan Luan, M. Divita
Data retention lifetime is an important specification for the long term durability of EPROM circuits. While most of the published EPROM data retention results are based on empirical data, this paper presents an analytical approach which can be used to quantify EPROM data retention lifetime based on the circuit implementation. Two types of EPROM circuits are analyzed- a single transistor EPROM cell as well as a differential EPROM circuit. Using this new approach, the EPROM data retention performance is converted to a minimal residual gate charge requirement of the EPROM device which can then be used to directly compare and analyze the data retention performance of the EPROM circuits. The results of the analysis and comparison suggest that circuit implementation has great impact on EPROM data retention lifetime, and they also provide valuable insights on ways to improve the reliability of EPROM circuits. The analysis result of this paper on the differential EPROM circuit is further validated by wafer level reliability test (WLR) completed on an actual IC implementation, which suggests a good agreement between theoretical analysis and actual WLR data.
数据保持寿命是EPROM电路长期耐用性的重要指标。虽然大多数已发表的EPROM数据保留结果都是基于经验数据,但本文提出了一种基于电路实现的分析方法,可用于量化EPROM数据保留寿命。分析了两种类型的EPROM电路-单晶体管EPROM单元以及差分EPROM电路。利用这种新方法,将EPROM的数据保留性能转化为EPROM器件的最小剩余栅极电荷要求,然后可用于直接比较和分析EPROM电路的数据保留性能。分析和比较的结果表明,电路的实现对EPROM数据的保存寿命有很大的影响,也为提高EPROM电路的可靠性提供了有价值的见解。通过在实际集成电路上完成的晶圆级可靠性测试(WLR)进一步验证了本文对差分EPROM电路的分析结果,表明理论分析与实际WLR数据吻合较好。
{"title":"Analysis and reliability test to improve the data retention performance of EPROM circuits","authors":"Jiyuan Luan, M. Divita","doi":"10.1109/ISQED.2013.6523622","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523622","url":null,"abstract":"Data retention lifetime is an important specification for the long term durability of EPROM circuits. While most of the published EPROM data retention results are based on empirical data, this paper presents an analytical approach which can be used to quantify EPROM data retention lifetime based on the circuit implementation. Two types of EPROM circuits are analyzed- a single transistor EPROM cell as well as a differential EPROM circuit. Using this new approach, the EPROM data retention performance is converted to a minimal residual gate charge requirement of the EPROM device which can then be used to directly compare and analyze the data retention performance of the EPROM circuits. The results of the analysis and comparison suggest that circuit implementation has great impact on EPROM data retention lifetime, and they also provide valuable insights on ways to improve the reliability of EPROM circuits. The analysis result of this paper on the differential EPROM circuit is further validated by wafer level reliability test (WLR) completed on an actual IC implementation, which suggests a good agreement between theoretical analysis and actual WLR data.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114535625","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Aging-aware timing analysis considering combined effects of NBTI and PBTI 考虑NBTI和PBTI联合作用的衰老感知时序分析
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523590
S. Kiamehr, F. Firouzi, M. Tahoori
Transistor aging due to Bias Temperature Instability (BTI) and Hot Carrier Injection (HCI) is one of the major reliability issues of VLSI circuits fabricated at nanometer technology nodes. Transistor aging increases the circuit delay over the time and ultimately leads to lifetime reduction of VLSI chips. Accurate aging-aware timing analysis is a key requirement to consider these effects in the design cycle. Our analysis shows that a separate (independent) analysis of different sources of aging leads to significant overestimation of post-aging delay. To overcome the problem of existing methods, we propose a new aging-aware gate delay model that precisely captures the combined effect of different aging sources on delay. Our results obtained from a set of benchmark circuits show that, our proposed gate-delay model estimates the aging-induced Δdelay by 7.8% (translating to 36.0% MTTF) more accurately in comparison to prior techniques. Moreover, we present a flow for integrating the proposed gate delay model with commercial timing analysis tools.
由于偏置温度不稳定性(BTI)和热载流子注入(HCI)引起的晶体管老化是纳米技术节点上制造的超大规模集成电路的主要可靠性问题之一。随着时间的推移,晶体管老化增加了电路延迟,最终导致VLSI芯片的寿命缩短。准确的老化感知时序分析是在设计周期中考虑这些影响的关键要求。我们的分析表明,对不同衰老来源的单独(独立)分析导致对衰老后延迟的显着高估。为了克服现有方法存在的问题,我们提出了一种新的老化感知门延迟模型,该模型可以精确地捕捉不同老化源对延迟的综合影响。我们从一组基准电路中获得的结果表明,与之前的技术相比,我们提出的门延迟模型对老化引起的Δdelay的估计精度提高了7.8%(转换为36.0% MTTF)。此外,我们还提出了将所提出的门延迟模型与商业时序分析工具集成的流程。
{"title":"Aging-aware timing analysis considering combined effects of NBTI and PBTI","authors":"S. Kiamehr, F. Firouzi, M. Tahoori","doi":"10.1109/ISQED.2013.6523590","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523590","url":null,"abstract":"Transistor aging due to Bias Temperature Instability (BTI) and Hot Carrier Injection (HCI) is one of the major reliability issues of VLSI circuits fabricated at nanometer technology nodes. Transistor aging increases the circuit delay over the time and ultimately leads to lifetime reduction of VLSI chips. Accurate aging-aware timing analysis is a key requirement to consider these effects in the design cycle. Our analysis shows that a separate (independent) analysis of different sources of aging leads to significant overestimation of post-aging delay. To overcome the problem of existing methods, we propose a new aging-aware gate delay model that precisely captures the combined effect of different aging sources on delay. Our results obtained from a set of benchmark circuits show that, our proposed gate-delay model estimates the aging-induced Δdelay by 7.8% (translating to 36.0% MTTF) more accurately in comparison to prior techniques. Moreover, we present a flow for integrating the proposed gate delay model with commercial timing analysis tools.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123225081","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 29
A power-efficient on-chip linear regulator assisted by switched capacitors for fast transient regulation 一种低功耗的片上线性调节器,由开关电容辅助,用于快速瞬态调节
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523684
Suming Lai, Peng Li
This paper presents an output-capacitorless low-dropout voltage regulator designed in a commercial 90nm CMOS technology for low-voltage applications. The power efficiency of the regulator is enhanced by significantly reducing its dropout voltage and quiescent current consumption. The resultant degradation of its transient regulation performance is compensated by a novel auxiliary circuit using switched-capacitor technique. The regulator operates under 1V supply voltage with a 0.9V output and delivers a maximum DC current of 100mA. The power efficiency under the full-load condition is about 90% and under the light-load condition (1mA load current) it maintains above 86%. For transient performance, when a 100mA load current step with 5ns rise/fall time is applied, the output voltage droop and overshoot are both within 10% of the steady-state value, while it would exceed 40% without the auxiliary circuit. Monte Carlo and temperature-sweep simulation results show that the LDO is robust to process and temperature variations and device mismatches.
本文介绍了一种采用商用90纳米CMOS技术设计的无输出电容低差稳压器,用于低压应用。通过显著降低其降压和静态电流消耗,提高了稳压器的功率效率。采用开关电容技术的新型辅助电路补偿了其暂态调节性能的下降。该稳压器在1V电源电压下工作,输出0.9V,最大直流电流为100mA。满负荷状态下功率效率约为90%,轻载状态下(负载电流1mA)功率效率保持在86%以上。暂态性能方面,施加100mA负载电流步进,升/降时间为5ns时,输出电压降和超调量均在稳态值的10%以内,而不加辅助电路则超过40%。蒙特卡罗和温度扫描仿真结果表明,LDO对工艺和温度变化以及器件不匹配具有较强的鲁棒性。
{"title":"A power-efficient on-chip linear regulator assisted by switched capacitors for fast transient regulation","authors":"Suming Lai, Peng Li","doi":"10.1109/ISQED.2013.6523684","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523684","url":null,"abstract":"This paper presents an output-capacitorless low-dropout voltage regulator designed in a commercial 90nm CMOS technology for low-voltage applications. The power efficiency of the regulator is enhanced by significantly reducing its dropout voltage and quiescent current consumption. The resultant degradation of its transient regulation performance is compensated by a novel auxiliary circuit using switched-capacitor technique. The regulator operates under 1V supply voltage with a 0.9V output and delivers a maximum DC current of 100mA. The power efficiency under the full-load condition is about 90% and under the light-load condition (1mA load current) it maintains above 86%. For transient performance, when a 100mA load current step with 5ns rise/fall time is applied, the output voltage droop and overshoot are both within 10% of the steady-state value, while it would exceed 40% without the auxiliary circuit. Monte Carlo and temperature-sweep simulation results show that the LDO is robust to process and temperature variations and device mismatches.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125744677","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
International Symposium on Quality Electronic Design (ISQED)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1