H. Tsai, H. Miyazoe, Ankit Vora, T. Magbitang, N. Arellano, Chi-Chun Liu, Michael J. Maher, William J. Durand, S. Dawes, J. Bucchignano, L. Gignac, D. Sanders, E. Joseph, M. Colburn, C. Willson, Christopher J. Ellison, M. Guillorn
Directed self-assembly (DSA) with block-copolymers (BCP) is a promising lithography extension technique to scale below 30nm pitch with 193i lithography. Continued scaling toward 20nm pitch or below will require material system improvements from PS-b-PMMA. Pattern quality for DSA features, such as line edge roughness (LER), line width roughness (LWR), size uniformity, and placement, is key to DSA manufacturability. In this work, we demonstrate finFET devices fabricated with DSA-patterned fins and compare several BCP systems for continued pitch scaling. Organic-organic high chi BCPs at 24nm and 21nm pitches show improved low to mid-frequency LER/LWR after pattern transfer.
{"title":"High chi block copolymer DSA to improve pattern quality for FinFET device fabrication","authors":"H. Tsai, H. Miyazoe, Ankit Vora, T. Magbitang, N. Arellano, Chi-Chun Liu, Michael J. Maher, William J. Durand, S. Dawes, J. Bucchignano, L. Gignac, D. Sanders, E. Joseph, M. Colburn, C. Willson, Christopher J. Ellison, M. Guillorn","doi":"10.1117/12.2219544","DOIUrl":"https://doi.org/10.1117/12.2219544","url":null,"abstract":"Directed self-assembly (DSA) with block-copolymers (BCP) is a promising lithography extension technique to scale below 30nm pitch with 193i lithography. Continued scaling toward 20nm pitch or below will require material system improvements from PS-b-PMMA. Pattern quality for DSA features, such as line edge roughness (LER), line width roughness (LWR), size uniformity, and placement, is key to DSA manufacturability. In this work, we demonstrate finFET devices fabricated with DSA-patterned fins and compare several BCP systems for continued pitch scaling. Organic-organic high chi BCPs at 24nm and 21nm pitches show improved low to mid-frequency LER/LWR after pattern transfer.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"152 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125647812","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
A. Brown, A. Frommhold, T. Lada, J. Bowen, Z. el Otell, A. Robinson
We have developed a range of fullerene containing materials for use as organic hard masks. Recent advances in material development are reported together with some results from external evaluations of the original HM100 series. Initial results for the new HM340-383-010 formulation show it to have a high thermal stability (~5.5 % mass loss at 400°C) and a very high carbon content (at 95.3%), offering high etch durability.
{"title":"Spin-on-carbon hard masks utilising fullerene derivatives","authors":"A. Brown, A. Frommhold, T. Lada, J. Bowen, Z. el Otell, A. Robinson","doi":"10.1117/12.2219212","DOIUrl":"https://doi.org/10.1117/12.2219212","url":null,"abstract":"We have developed a range of fullerene containing materials for use as organic hard masks. Recent advances in material development are reported together with some results from external evaluations of the original HM100 series. Initial results for the new HM340-383-010 formulation show it to have a high thermal stability (~5.5 % mass loss at 400°C) and a very high carbon content (at 95.3%), offering high etch durability.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"7 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115388175","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Enormous advances have been made in recent years to design sub 40nm dense contact hole pattern with local CD uniformity (CDU) that the process can tolerate. Negative tone development process (NTD) on 193nm photoresists has achieved this to a large extent without the requirement of additional processing steps on the patterned layer. With further shrinking of size of the subsequent nodes, the demand to produce smaller patterns with wider process window, low defectivity, and improved CDU is increasing, and reaching beyond what can be achieved through NTD alone. A number of techniques are in practice today to achieve this, most notably, implementation of a collar of Atomic Layer Deposited SiO2 (ALD) on photoresist or substrate. However, in recent years, various material suppliers have also proposed shrink chemistries to achieve this. In this paper, we have provided fundamental characterization of shrink via application of spin-on agents (organic as well as aqueous) on the post-imaged pattern. We have also compared them for their shrink capacity, defect tendency, dry etch capability and ease of implementation in the process flow. In addition, we have provided recommendations on which technique is suitable for a given set of process prerequisites.
{"title":"Fundamental characterization of shrink techniques on negative tone development based dense contact holes","authors":"K. Jain, S. Light","doi":"10.1117/12.2218626","DOIUrl":"https://doi.org/10.1117/12.2218626","url":null,"abstract":"Enormous advances have been made in recent years to design sub 40nm dense contact hole pattern with local CD uniformity (CDU) that the process can tolerate. Negative tone development process (NTD) on 193nm photoresists has achieved this to a large extent without the requirement of additional processing steps on the patterned layer. With further shrinking of size of the subsequent nodes, the demand to produce smaller patterns with wider process window, low defectivity, and improved CDU is increasing, and reaching beyond what can be achieved through NTD alone. A number of techniques are in practice today to achieve this, most notably, implementation of a collar of Atomic Layer Deposited SiO2 (ALD) on photoresist or substrate. However, in recent years, various material suppliers have also proposed shrink chemistries to achieve this. In this paper, we have provided fundamental characterization of shrink via application of spin-on agents (organic as well as aqueous) on the post-imaged pattern. We have also compared them for their shrink capacity, defect tendency, dry etch capability and ease of implementation in the process flow. In addition, we have provided recommendations on which technique is suitable for a given set of process prerequisites.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"53 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115532693","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
S. Shinoda, Yasutaka Toyoda, Yutaka Hojo, H. Sugahara, H. Sindo
We have developed a new focus measurement method based on analyzing SEM images that can help to control a scanner. In advanced semiconductor fabrication, rigorous focus control of the scanner has been required because focus error causes a defect. Therefore, it is essential to ensure focus error are detected at wafer fabrication. In the past, the focus has been measured using test patterns made outside of the chip by optical metrology system. Thus, present focus metrology system can’t measure the focus of an arbitrary point in the chip. The new method enables a highly precise focus measurement of the arbitrary point of the chip based on a focus plane of a reference scanner. The method estimates the focus amount by analyzing side wall shapes of circuit patterns of SEM images. Side wall shapes are quantified using multisliced contours extracted from SEM-images high accuracy. By using this method, it is possible to measure the focus of the arbitrary circuit pattern area of the chip without a test pattern. We believe the method can contribute to control the scanner and to detect hot spots which appear by focus error. This new method and the evaluation results will be presented in detail in this paper.
{"title":"Focus measurement using SEM image analysis of circuit pattern","authors":"S. Shinoda, Yasutaka Toyoda, Yutaka Hojo, H. Sugahara, H. Sindo","doi":"10.1117/12.2229089","DOIUrl":"https://doi.org/10.1117/12.2229089","url":null,"abstract":"We have developed a new focus measurement method based on analyzing SEM images that can help to control a scanner.\u0000In advanced semiconductor fabrication, rigorous focus control of the scanner has been required because focus error causes a defect.\u0000Therefore, it is essential to ensure focus error are detected at wafer fabrication. \u0000In the past, the focus has been measured using test patterns made outside of the chip by optical metrology system.\u0000Thus, present focus metrology system can’t measure the focus of an arbitrary point in the chip.\u0000The new method enables a highly precise focus measurement of the arbitrary point of the chip based on a focus plane of a reference scanner.\u0000The method estimates the focus amount by analyzing side wall shapes of circuit patterns of SEM images.\u0000Side wall shapes are quantified using multisliced contours extracted from SEM-images high accuracy.\u0000By using this method, it is possible to measure the focus of the arbitrary circuit pattern area of the chip without a test pattern.\u0000We believe the method can contribute to control the scanner and to detect hot spots which appear by focus error.\u0000This new method and the evaluation results will be presented in detail in this paper.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"32 5 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126052966","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
S. Kawakami, Hiroshi Mizunoura, K. Matsunaga, Koichi Hontake, Hiroshi Nakamura, S. Shimura, M. Enomoto
Challenges of processing metal containing materials need to be addressed in order apply this technology to Behavior of metal containing materials on coater/developer processing including coating process, developer process and tool metal contamination is studied using CLEAN TRACKTM LITHIUS ProTM Z (Tokyo Electron Limited). Through this work, coating uniformity and coating film defectivity were studied. Metal containing material performance was comparable to conventional materials. Especially, new dispense system (NDS) demonstrated up to 80% reduction in coating defect for metal containing materials. As for processed wafer metal contamination, coated wafer metal contamination achieved less than 1.0E10 atoms/cm2 with 3 materials. After develop metal contamination also achieved less than 1.0E10 atoms/cm2 with 2 materials. Furthermore, through the metal defect study, metal residues and metal contamination were reduced by developer rinse optimization.
{"title":"Metal containing material processing on coater/developer system","authors":"S. Kawakami, Hiroshi Mizunoura, K. Matsunaga, Koichi Hontake, Hiroshi Nakamura, S. Shimura, M. Enomoto","doi":"10.1117/12.2219106","DOIUrl":"https://doi.org/10.1117/12.2219106","url":null,"abstract":"Challenges of processing metal containing materials need to be addressed in order apply this technology to Behavior of metal containing materials on coater/developer processing including coating process, developer process and tool metal contamination is studied using CLEAN TRACKTM LITHIUS ProTM Z (Tokyo Electron Limited). Through this work, coating uniformity and coating film defectivity were studied. Metal containing material performance was comparable to conventional materials. Especially, new dispense system (NDS) demonstrated up to 80% reduction in coating defect for metal containing materials. As for processed wafer metal contamination, coated wafer metal contamination achieved less than 1.0E10 atoms/cm2 with 3 materials. After develop metal contamination also achieved less than 1.0E10 atoms/cm2 with 2 materials. Furthermore, through the metal defect study, metal residues and metal contamination were reduced by developer rinse optimization.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"21 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122126527","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Xuanxuan Chen, T. Seo, Paulina A. Rincon-Delgadillo, T. Matsumiya, A. Kawaue, Takaya Maehashi, R. Gronheid, P. Nealey
Directed self-assembly of block copolymers is a promising candidate to address grand challenges towards new generations of low-cost, high-resolution nanopatterning technology. Over the past decade, poly(styrene-b-methyl methacrylate) (PS-b-PMMA) has been the most popular block copolymer applied in this area. However, further scaling towards pitches below 20 nm is hindered by its relatively low segregation strength between constituent blocks, characterized by a low Flory-Huggins interaction parameter, χ (~ 0.038 at r.t). To reach sub-10 nm feature dimensions, many high- χ block copolymer materials and processes are currently being studied. Here we investigate the DSA of PSb- PMMA with blended ionic liquid (IL) on chemically-patterned substrates via thermal annealing with a free surface. In this materials system, by adding low volume fraction of IL, a substantially higher χ than the pure block copolymer is achieved with manageable change in surface and interfacial properties so that poly(styrene-random-methyl methacrylate) brushes may be used to control substrate wetting behavior, and the blend could be assembled using thermal annealing with a free surface. In other words, PS-b-PMMA/IL may serve as a high- χ drop-in replacement for PS-b-PMMA. In this work, we provide key DSA results to determine if PS-b-PMMA/IL blends would offer a solution for sub-10 nm lithography.
{"title":"Directed self-assembly of PS-b-PMMA with ionic liquid addition","authors":"Xuanxuan Chen, T. Seo, Paulina A. Rincon-Delgadillo, T. Matsumiya, A. Kawaue, Takaya Maehashi, R. Gronheid, P. Nealey","doi":"10.1117/12.2220420","DOIUrl":"https://doi.org/10.1117/12.2220420","url":null,"abstract":"Directed self-assembly of block copolymers is a promising candidate to address grand challenges towards new generations of low-cost, high-resolution nanopatterning technology. Over the past decade, poly(styrene-b-methyl methacrylate) (PS-b-PMMA) has been the most popular block copolymer applied in this area. However, further scaling towards pitches below 20 nm is hindered by its relatively low segregation strength between constituent blocks, characterized by a low Flory-Huggins interaction parameter, χ (~ 0.038 at r.t). To reach sub-10 nm feature dimensions, many high- χ block copolymer materials and processes are currently being studied. Here we investigate the DSA of PSb- PMMA with blended ionic liquid (IL) on chemically-patterned substrates via thermal annealing with a free surface. In this materials system, by adding low volume fraction of IL, a substantially higher χ than the pure block copolymer is achieved with manageable change in surface and interfacial properties so that poly(styrene-random-methyl methacrylate) brushes may be used to control substrate wetting behavior, and the blend could be assembled using thermal annealing with a free surface. In other words, PS-b-PMMA/IL may serve as a high- χ drop-in replacement for PS-b-PMMA. In this work, we provide key DSA results to determine if PS-b-PMMA/IL blends would offer a solution for sub-10 nm lithography.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"9779 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129814362","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
The relative importance of secondary electrons in delivering energy in photoresist films was assessed by performing large area exposures and by quantifying the inelastic mean free path of electrons in a leading chemically amplified positive tone EUV resist. A low energy electron microscope was used to directly pattern large (~15μm x 20μm) features with 15-80 eV electrons followed by analyzing the resulting dissolution rate contrast curve data. In the 40 to 80 eV regime the energy delivery was found to scale roughly proportionally with electron energy. In 15 to 30 eV regime however, this energy scaling did not explain the resist thickness loss data. The dose required to lower the resist thickness down to 20 nm was found to be 2-5X larger for 15 eV electrons than for 20, 25 and 30 eV electrons. Using scattering models from the literature including phonon scattering and optical data deduced electron energy loss spectroscopy and optical reflectometry, the inelastic mean free path values at energies between 10 eV and 92 eV range between about 2.8 and 0.6 nm respectively.
{"title":"Study of energy delivery and mean free path of low energy electrons in EUV resists","authors":"Suchit Bhattarai, A. Neureuther, P. Naulleau","doi":"10.1117/12.2220390","DOIUrl":"https://doi.org/10.1117/12.2220390","url":null,"abstract":"The relative importance of secondary electrons in delivering energy in photoresist films was assessed by performing large area exposures and by quantifying the inelastic mean free path of electrons in a leading chemically amplified positive tone EUV resist. A low energy electron microscope was used to directly pattern large (~15μm x 20μm) features with 15-80 eV electrons followed by analyzing the resulting dissolution rate contrast curve data. In the 40 to 80 eV regime the energy delivery was found to scale roughly proportionally with electron energy. In 15 to 30 eV regime however, this energy scaling did not explain the resist thickness loss data. The dose required to lower the resist thickness down to 20 nm was found to be 2-5X larger for 15 eV electrons than for 20, 25 and 30 eV electrons. Using scattering models from the literature including phonon scattering and optical data deduced electron energy loss spectroscopy and optical reflectometry, the inelastic mean free path values at energies between 10 eV and 92 eV range between about 2.8 and 0.6 nm respectively.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"12 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130714542","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Harm Dillen, G. Rebel, Jennifer Massier, Dominika Grodzinka, R. Bruls
Using SEM-EDS analysis on small (< 200 nm) particles is challenging, especially on a substrate with multiple background elements present. We will show a methodology combining three techniques to get the most information out of small particles. This method combines low energy EDS with a nontraditional approach to improve statistics in EDS and elemental mapping. This methodology is required for ASML’s EUV platform, the NXE scanner to continue system improvement for a system showing already low defect count. The poor particle statistics on particle defects lead to a limited amount of particles available for diagnostics, which implies that all information on particle characteristics should be used for diagnostics.
{"title":"Material analysis techniques used to drive down in-situ mask contamination sources","authors":"Harm Dillen, G. Rebel, Jennifer Massier, Dominika Grodzinka, R. Bruls","doi":"10.1117/12.2220400","DOIUrl":"https://doi.org/10.1117/12.2220400","url":null,"abstract":"Using SEM-EDS analysis on small (< 200 nm) particles is challenging, especially on a substrate with multiple background elements present. We will show a methodology combining three techniques to get the most information out of small particles. This method combines low energy EDS with a nontraditional approach to improve statistics in EDS and elemental mapping. This methodology is required for ASML’s EUV platform, the NXE scanner to continue system improvement for a system showing already low defect count. The poor particle statistics on particle defects lead to a limited amount of particles available for diagnostics, which implies that all information on particle characteristics should be used for diagnostics.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"9778 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130771850","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
X. Chevalier, P. Coupillaud, G. Lombard, C. Nicolet, J. Beausoleil, G. Fleury, M. Zelsmann, P. Bézard, G. Cunge, J. Berron, K. Sakavuyi, A. Gharbi, R. Tiron, G. Hadziioannou, C. Navarro, I. Cayrefourcq
Properties of new block copolymers systems, specifically designed to reach large periods for the features, are compared to the ones exhibited by classical PS-b-PMMA materials of same dimensions. Conducted studies, like free-surface defects analysis, mild-plasma tomography experiments, graphoepitaxy-guided structures, etch-transfer… indicate much better performances, in terms of achievable film-thicknesses with perpendicular features, defects levels, and dimensional uniformities, for the new system than for the classical PS-b-PMMA. These results clearly highlight unique and original solutions toward an early introduction of DSA technology into large lithographic nodes.
{"title":"Design of new block copolymer systems to achieve thick films with defect-free structures for applications of DSA into lithographic large nodes","authors":"X. Chevalier, P. Coupillaud, G. Lombard, C. Nicolet, J. Beausoleil, G. Fleury, M. Zelsmann, P. Bézard, G. Cunge, J. Berron, K. Sakavuyi, A. Gharbi, R. Tiron, G. Hadziioannou, C. Navarro, I. Cayrefourcq","doi":"10.1117/12.2219214","DOIUrl":"https://doi.org/10.1117/12.2219214","url":null,"abstract":"Properties of new block copolymers systems, specifically designed to reach large periods for the features, are compared to the ones exhibited by classical PS-b-PMMA materials of same dimensions. Conducted studies, like free-surface defects analysis, mild-plasma tomography experiments, graphoepitaxy-guided structures, etch-transfer… indicate much better performances, in terms of achievable film-thicknesses with perpendicular features, defects levels, and dimensional uniformities, for the new system than for the classical PS-b-PMMA. These results clearly highlight unique and original solutions toward an early introduction of DSA technology into large lithographic nodes.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"5 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130986590","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Block copolymer self-assembly is a powerful tool for nanoscale patterning which benefits from predictive simulations. Two classes of simulations are self-consistent field theory (SCFT), which is accurate but computationally expensive, and phase field models, which are faster but historically less accurate. We refine a mapping procedure that uses results from SCFT to optimize parameters in a phase field model for diblock copolymers. We validate the performance of this optimized phase field model with regards to accuracy and computational speed in perfect and defective configurations. The optimized phase field model is significantly faster than SCFT and more accurate than previous phase field models, making it a viable design tool for directed self-assembly processes.
{"title":"Phase field mapping for accurate, ultrafast simulations of directed self-assembly","authors":"Jimmy Liu, K. Delaney, G. Fredrickson","doi":"10.1117/12.2219311","DOIUrl":"https://doi.org/10.1117/12.2219311","url":null,"abstract":"Block copolymer self-assembly is a powerful tool for nanoscale patterning which benefits from predictive simulations. Two classes of simulations are self-consistent field theory (SCFT), which is accurate but computationally expensive, and phase field models, which are faster but historically less accurate. We refine a mapping procedure that uses results from SCFT to optimize parameters in a phase field model for diblock copolymers. We validate the performance of this optimized phase field model with regards to accuracy and computational speed in perfect and defective configurations. The optimized phase field model is significantly faster than SCFT and more accurate than previous phase field models, making it a viable design tool for directed self-assembly processes.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"14 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128843763","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}