首页 > 最新文献

SPIE Advanced Lithography最新文献

英文 中文
High chi block copolymer DSA to improve pattern quality for FinFET device fabrication 高chi嵌段共聚物DSA改善FinFET器件制造的图形质量
Pub Date : 2016-03-25 DOI: 10.1117/12.2219544
H. Tsai, H. Miyazoe, Ankit Vora, T. Magbitang, N. Arellano, Chi-Chun Liu, Michael J. Maher, William J. Durand, S. Dawes, J. Bucchignano, L. Gignac, D. Sanders, E. Joseph, M. Colburn, C. Willson, Christopher J. Ellison, M. Guillorn
Directed self-assembly (DSA) with block-copolymers (BCP) is a promising lithography extension technique to scale below 30nm pitch with 193i lithography. Continued scaling toward 20nm pitch or below will require material system improvements from PS-b-PMMA. Pattern quality for DSA features, such as line edge roughness (LER), line width roughness (LWR), size uniformity, and placement, is key to DSA manufacturability. In this work, we demonstrate finFET devices fabricated with DSA-patterned fins and compare several BCP systems for continued pitch scaling. Organic-organic high chi BCPs at 24nm and 21nm pitches show improved low to mid-frequency LER/LWR after pattern transfer.
嵌段共聚物(BCP)定向自组装(DSA)是一种很有前途的光刻扩展技术,可以在193i光刻中实现小于30nm间距的尺寸。继续向20nm或更低的间距扩展将需要PS-b-PMMA的材料系统改进。线条边缘粗糙度(LER)、线条宽度粗糙度(LWR)、尺寸均匀性和位置等DSA特征的图案质量是DSA可制造性的关键。在这项工作中,我们展示了用dsa图案鳍制造的finFET器件,并比较了几种BCP系统的持续音调缩放。24nm和21nm波长的有机-有机高chi bcp在图案转移后表现出更高的低到中频LER/LWR。
{"title":"High chi block copolymer DSA to improve pattern quality for FinFET device fabrication","authors":"H. Tsai, H. Miyazoe, Ankit Vora, T. Magbitang, N. Arellano, Chi-Chun Liu, Michael J. Maher, William J. Durand, S. Dawes, J. Bucchignano, L. Gignac, D. Sanders, E. Joseph, M. Colburn, C. Willson, Christopher J. Ellison, M. Guillorn","doi":"10.1117/12.2219544","DOIUrl":"https://doi.org/10.1117/12.2219544","url":null,"abstract":"Directed self-assembly (DSA) with block-copolymers (BCP) is a promising lithography extension technique to scale below 30nm pitch with 193i lithography. Continued scaling toward 20nm pitch or below will require material system improvements from PS-b-PMMA. Pattern quality for DSA features, such as line edge roughness (LER), line width roughness (LWR), size uniformity, and placement, is key to DSA manufacturability. In this work, we demonstrate finFET devices fabricated with DSA-patterned fins and compare several BCP systems for continued pitch scaling. Organic-organic high chi BCPs at 24nm and 21nm pitches show improved low to mid-frequency LER/LWR after pattern transfer.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"152 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125647812","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
Spin-on-carbon hard masks utilising fullerene derivatives 利用富勒烯衍生物的碳自旋硬掩膜
Pub Date : 2016-03-25 DOI: 10.1117/12.2219212
A. Brown, A. Frommhold, T. Lada, J. Bowen, Z. el Otell, A. Robinson
We have developed a range of fullerene containing materials for use as organic hard masks. Recent advances in material development are reported together with some results from external evaluations of the original HM100 series. Initial results for the new HM340-383-010 formulation show it to have a high thermal stability (~5.5 % mass loss at 400°C) and a very high carbon content (at 95.3%), offering high etch durability.
我们已经开发了一系列含富勒烯的材料,用于有机硬口罩。报告了材料发展的最新进展以及原始HM100系列的一些外部评估结果。新HM340-383-010配方的初步结果表明,它具有很高的热稳定性(400°C时质量损失约5.5%)和非常高的碳含量(95.3%),具有很高的蚀刻耐久性。
{"title":"Spin-on-carbon hard masks utilising fullerene derivatives","authors":"A. Brown, A. Frommhold, T. Lada, J. Bowen, Z. el Otell, A. Robinson","doi":"10.1117/12.2219212","DOIUrl":"https://doi.org/10.1117/12.2219212","url":null,"abstract":"We have developed a range of fullerene containing materials for use as organic hard masks. Recent advances in material development are reported together with some results from external evaluations of the original HM100 series. Initial results for the new HM340-383-010 formulation show it to have a high thermal stability (~5.5 % mass loss at 400°C) and a very high carbon content (at 95.3%), offering high etch durability.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"7 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115388175","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Fundamental characterization of shrink techniques on negative tone development based dense contact holes 基于密集接触孔的负色调显影收缩技术的基本特征
Pub Date : 2016-03-25 DOI: 10.1117/12.2218626
K. Jain, S. Light
Enormous advances have been made in recent years to design sub 40nm dense contact hole pattern with local CD uniformity (CDU) that the process can tolerate. Negative tone development process (NTD) on 193nm photoresists has achieved this to a large extent without the requirement of additional processing steps on the patterned layer. With further shrinking of size of the subsequent nodes, the demand to produce smaller patterns with wider process window, low defectivity, and improved CDU is increasing, and reaching beyond what can be achieved through NTD alone. A number of techniques are in practice today to achieve this, most notably, implementation of a collar of Atomic Layer Deposited SiO2 (ALD) on photoresist or substrate. However, in recent years, various material suppliers have also proposed shrink chemistries to achieve this. In this paper, we have provided fundamental characterization of shrink via application of spin-on agents (organic as well as aqueous) on the post-imaged pattern. We have also compared them for their shrink capacity, defect tendency, dry etch capability and ease of implementation in the process flow. In addition, we have provided recommendations on which technique is suitable for a given set of process prerequisites.
近年来,在设计具有局部CD均匀性(CDU)的亚40nm密集接触孔模式方面取得了巨大进展。在193nm光刻胶上的负色调显影工艺(NTD)在很大程度上实现了这一点,而不需要在图案层上进行额外的处理步骤。随着后续节点尺寸的进一步缩小,生产更小的图案、更宽的工艺窗口、低缺陷和改进的CDU的需求正在增加,并且超出了仅通过NTD可以实现的范围。目前有许多技术可以实现这一目标,最值得注意的是在光刻胶或衬底上实现原子层沉积SiO2 (ALD)的领子。然而,近年来,各种材料供应商也提出了收缩化学品来实现这一目标。在本文中,我们通过应用自旋剂(有机和水)对后成像模式提供了收缩的基本表征。我们还比较了它们的收缩能力、缺陷倾向、干蚀刻能力和在工艺流程中易于实现。此外,我们还提供了关于哪种技术适合给定的过程先决条件集的建议。
{"title":"Fundamental characterization of shrink techniques on negative tone development based dense contact holes","authors":"K. Jain, S. Light","doi":"10.1117/12.2218626","DOIUrl":"https://doi.org/10.1117/12.2218626","url":null,"abstract":"Enormous advances have been made in recent years to design sub 40nm dense contact hole pattern with local CD uniformity (CDU) that the process can tolerate. Negative tone development process (NTD) on 193nm photoresists has achieved this to a large extent without the requirement of additional processing steps on the patterned layer. With further shrinking of size of the subsequent nodes, the demand to produce smaller patterns with wider process window, low defectivity, and improved CDU is increasing, and reaching beyond what can be achieved through NTD alone. A number of techniques are in practice today to achieve this, most notably, implementation of a collar of Atomic Layer Deposited SiO2 (ALD) on photoresist or substrate. However, in recent years, various material suppliers have also proposed shrink chemistries to achieve this. In this paper, we have provided fundamental characterization of shrink via application of spin-on agents (organic as well as aqueous) on the post-imaged pattern. We have also compared them for their shrink capacity, defect tendency, dry etch capability and ease of implementation in the process flow. In addition, we have provided recommendations on which technique is suitable for a given set of process prerequisites.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"53 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115532693","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Focus measurement using SEM image analysis of circuit pattern 聚焦测量用SEM图像分析电路图样
Pub Date : 2016-03-25 DOI: 10.1117/12.2229089
S. Shinoda, Yasutaka Toyoda, Yutaka Hojo, H. Sugahara, H. Sindo
We have developed a new focus measurement method based on analyzing SEM images that can help to control a scanner.In advanced semiconductor fabrication, rigorous focus control of the scanner has been required because focus error causes a defect.Therefore, it is essential to ensure focus error are detected at wafer fabrication. In the past, the focus has been measured using test patterns made outside of the chip by optical metrology system.Thus, present focus metrology system can’t measure the focus of an arbitrary point in the chip.The new method enables a highly precise focus measurement of the arbitrary point of the chip based on a focus plane of a reference scanner.The method estimates the focus amount by analyzing side wall shapes of circuit patterns of SEM images.Side wall shapes are quantified using multisliced contours extracted from SEM-images high accuracy.By using this method, it is possible to measure the focus of the arbitrary circuit pattern area of the chip without a test pattern.We believe the method can contribute to control the scanner and to detect hot spots which appear by focus error.This new method and the evaluation results will be presented in detail in this paper.
我们开发了一种新的基于分析扫描电镜图像的焦点测量方法,可以帮助控制扫描仪。在先进的半导体制造中,由于聚焦误差会导致缺陷,因此要求对扫描仪进行严格的聚焦控制。因此,确保在晶圆制造过程中检测到聚焦误差是至关重要的。在过去,焦点是用光学测量系统在芯片外制作的测试图案来测量的。因此,现有的焦点测量系统无法测量芯片中任意一点的焦点。该方法基于参考扫描仪的聚焦平面,实现了对芯片任意点的高精度聚焦测量。该方法通过分析扫描电镜图像中电路图样的侧壁形状来估计聚焦量。侧壁形状是利用从扫描电镜图像中提取的高精度多切片轮廓来量化的。利用这种方法,可以在没有测试图的情况下测量芯片任意电路图区域的焦点。本文认为,该方法有助于对扫描仪的控制和对因聚焦误差而出现的热点的检测。本文将详细介绍这种新方法及其评价结果。
{"title":"Focus measurement using SEM image analysis of circuit pattern","authors":"S. Shinoda, Yasutaka Toyoda, Yutaka Hojo, H. Sugahara, H. Sindo","doi":"10.1117/12.2229089","DOIUrl":"https://doi.org/10.1117/12.2229089","url":null,"abstract":"We have developed a new focus measurement method based on analyzing SEM images that can help to control a scanner.\u0000In advanced semiconductor fabrication, rigorous focus control of the scanner has been required because focus error causes a defect.\u0000Therefore, it is essential to ensure focus error are detected at wafer fabrication. \u0000In the past, the focus has been measured using test patterns made outside of the chip by optical metrology system.\u0000Thus, present focus metrology system can’t measure the focus of an arbitrary point in the chip.\u0000The new method enables a highly precise focus measurement of the arbitrary point of the chip based on a focus plane of a reference scanner.\u0000The method estimates the focus amount by analyzing side wall shapes of circuit patterns of SEM images.\u0000Side wall shapes are quantified using multisliced contours extracted from SEM-images high accuracy.\u0000By using this method, it is possible to measure the focus of the arbitrary circuit pattern area of the chip without a test pattern.\u0000We believe the method can contribute to control the scanner and to detect hot spots which appear by focus error.\u0000This new method and the evaluation results will be presented in detail in this paper.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"32 5 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126052966","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Metal containing material processing on coater/developer system 含金属材料在涂布机/显影剂系统上的加工
Pub Date : 2016-03-25 DOI: 10.1117/12.2219106
S. Kawakami, Hiroshi Mizunoura, K. Matsunaga, Koichi Hontake, Hiroshi Nakamura, S. Shimura, M. Enomoto
Challenges of processing metal containing materials need to be addressed in order apply this technology to Behavior of metal containing materials on coater/developer processing including coating process, developer process and tool metal contamination is studied using CLEAN TRACKTM LITHIUS ProTM Z (Tokyo Electron Limited). Through this work, coating uniformity and coating film defectivity were studied. Metal containing material performance was comparable to conventional materials. Especially, new dispense system (NDS) demonstrated up to 80% reduction in coating defect for metal containing materials. As for processed wafer metal contamination, coated wafer metal contamination achieved less than 1.0E10 atoms/cm2 with 3 materials. After develop metal contamination also achieved less than 1.0E10 atoms/cm2 with 2 materials. Furthermore, through the metal defect study, metal residues and metal contamination were reduced by developer rinse optimization.
为了将该技术应用于含金属材料在涂层/显影剂加工上的行为,包括涂层过程、显影剂过程和工具金属污染,需要解决加工含金属材料的挑战,使用CLEAN TRACKTM LITHIUS ProTM Z(东京电子有限公司)研究。通过这项工作,研究了涂层均匀性和涂层缺陷。含金属材料的性能可与传统材料相媲美。特别是,新的点胶系统(NDS)表明,高达80%的涂层缺陷减少含金属材料。对于加工后的晶圆金属污染,3种材料的涂层晶圆金属污染均小于1.0E10原子/cm2。开发后的2种材料的金属污染也达到了小于1.0E10原子/cm2。此外,通过对金属缺陷的研究,通过显影剂漂洗优化,减少了金属残留和金属污染。
{"title":"Metal containing material processing on coater/developer system","authors":"S. Kawakami, Hiroshi Mizunoura, K. Matsunaga, Koichi Hontake, Hiroshi Nakamura, S. Shimura, M. Enomoto","doi":"10.1117/12.2219106","DOIUrl":"https://doi.org/10.1117/12.2219106","url":null,"abstract":"Challenges of processing metal containing materials need to be addressed in order apply this technology to Behavior of metal containing materials on coater/developer processing including coating process, developer process and tool metal contamination is studied using CLEAN TRACKTM LITHIUS ProTM Z (Tokyo Electron Limited). Through this work, coating uniformity and coating film defectivity were studied. Metal containing material performance was comparable to conventional materials. Especially, new dispense system (NDS) demonstrated up to 80% reduction in coating defect for metal containing materials. As for processed wafer metal contamination, coated wafer metal contamination achieved less than 1.0E10 atoms/cm2 with 3 materials. After develop metal contamination also achieved less than 1.0E10 atoms/cm2 with 2 materials. Furthermore, through the metal defect study, metal residues and metal contamination were reduced by developer rinse optimization.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"21 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122126527","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Directed self-assembly of PS-b-PMMA with ionic liquid addition 离子液体加入下PS-b-PMMA的定向自组装
Pub Date : 2016-03-25 DOI: 10.1117/12.2220420
Xuanxuan Chen, T. Seo, Paulina A. Rincon-Delgadillo, T. Matsumiya, A. Kawaue, Takaya Maehashi, R. Gronheid, P. Nealey
Directed self-assembly of block copolymers is a promising candidate to address grand challenges towards new generations of low-cost, high-resolution nanopatterning technology. Over the past decade, poly(styrene-b-methyl methacrylate) (PS-b-PMMA) has been the most popular block copolymer applied in this area. However, further scaling towards pitches below 20 nm is hindered by its relatively low segregation strength between constituent blocks, characterized by a low Flory-Huggins interaction parameter, χ (~ 0.038 at r.t). To reach sub-10 nm feature dimensions, many high- χ block copolymer materials and processes are currently being studied. Here we investigate the DSA of PSb- PMMA with blended ionic liquid (IL) on chemically-patterned substrates via thermal annealing with a free surface. In this materials system, by adding low volume fraction of IL, a substantially higher χ than the pure block copolymer is achieved with manageable change in surface and interfacial properties so that poly(styrene-random-methyl methacrylate) brushes may be used to control substrate wetting behavior, and the blend could be assembled using thermal annealing with a free surface. In other words, PS-b-PMMA/IL may serve as a high- χ drop-in replacement for PS-b-PMMA. In this work, we provide key DSA results to determine if PS-b-PMMA/IL blends would offer a solution for sub-10 nm lithography.
嵌段共聚物的定向自组装是解决新一代低成本,高分辨率纳米图案技术面临的重大挑战的有希望的候选者。在过去的十年中,聚苯乙烯-b-甲基丙烯酸甲酯(PS-b-PMMA)是在这一领域应用最广泛的嵌段共聚物。然而,进一步向低于20 nm的间距缩放受到其组成块之间相对较低的偏析强度的阻碍,其特征是低Flory-Huggins相互作用参数,χ (rt为~ 0.038)。为了达到10纳米以下的特征尺寸,目前正在研究许多高χ嵌段共聚物材料和工艺。本文研究了混合离子液体(IL)的PSb- PMMA在化学图像化衬底上通过自由表面热退火的DSA。在该材料体系中,通过添加低体积分数的IL,可以获得比纯嵌段共聚物高得多的χ,并且表面和界面性能发生了可控的变化,因此聚苯乙烯-随机-甲基丙烯酸甲酯刷可以用来控制衬底润湿行为,并且可以使用自由表面的热退火来组装共混物。换句话说,PS-b-PMMA/IL可以作为PS-b-PMMA的高χ drop-in替代品。在这项工作中,我们提供了关键的DSA结果,以确定PS-b-PMMA/IL共混物是否能提供10 nm以下光刻的解决方案。
{"title":"Directed self-assembly of PS-b-PMMA with ionic liquid addition","authors":"Xuanxuan Chen, T. Seo, Paulina A. Rincon-Delgadillo, T. Matsumiya, A. Kawaue, Takaya Maehashi, R. Gronheid, P. Nealey","doi":"10.1117/12.2220420","DOIUrl":"https://doi.org/10.1117/12.2220420","url":null,"abstract":"Directed self-assembly of block copolymers is a promising candidate to address grand challenges towards new generations of low-cost, high-resolution nanopatterning technology. Over the past decade, poly(styrene-b-methyl methacrylate) (PS-b-PMMA) has been the most popular block copolymer applied in this area. However, further scaling towards pitches below 20 nm is hindered by its relatively low segregation strength between constituent blocks, characterized by a low Flory-Huggins interaction parameter, χ (~ 0.038 at r.t). To reach sub-10 nm feature dimensions, many high- χ block copolymer materials and processes are currently being studied. Here we investigate the DSA of PSb- PMMA with blended ionic liquid (IL) on chemically-patterned substrates via thermal annealing with a free surface. In this materials system, by adding low volume fraction of IL, a substantially higher χ than the pure block copolymer is achieved with manageable change in surface and interfacial properties so that poly(styrene-random-methyl methacrylate) brushes may be used to control substrate wetting behavior, and the blend could be assembled using thermal annealing with a free surface. In other words, PS-b-PMMA/IL may serve as a high- χ drop-in replacement for PS-b-PMMA. In this work, we provide key DSA results to determine if PS-b-PMMA/IL blends would offer a solution for sub-10 nm lithography.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"9779 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129814362","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Study of energy delivery and mean free path of low energy electrons in EUV resists EUV电阻中低能电子的能量传递和平均自由程研究
Pub Date : 2016-03-25 DOI: 10.1117/12.2220390
Suchit Bhattarai, A. Neureuther, P. Naulleau
The relative importance of secondary electrons in delivering energy in photoresist films was assessed by performing large area exposures and by quantifying the inelastic mean free path of electrons in a leading chemically amplified positive tone EUV resist. A low energy electron microscope was used to directly pattern large (~15μm x 20μm) features with 15-80 eV electrons followed by analyzing the resulting dissolution rate contrast curve data. In the 40 to 80 eV regime the energy delivery was found to scale roughly proportionally with electron energy. In 15 to 30 eV regime however, this energy scaling did not explain the resist thickness loss data. The dose required to lower the resist thickness down to 20 nm was found to be 2-5X larger for 15 eV electrons than for 20, 25 and 30 eV electrons. Using scattering models from the literature including phonon scattering and optical data deduced electron energy loss spectroscopy and optical reflectometry, the inelastic mean free path values at energies between 10 eV and 92 eV range between about 2.8 and 0.6 nm respectively.
二次电子在光刻胶薄膜中传递能量的相对重要性是通过进行大面积曝光和量化电子的非弹性平均自由程来评估的。利用低能电子显微镜对15 ~ 80 eV的大(~15μm x 20μm)特征进行了直接成像,并分析了所得溶出率对比曲线数据。在40至80 eV范围内,能量传递与电子能量大致成正比。然而,在15至30 eV范围内,这种能量缩放并不能解释电阻厚度损失数据。所需的剂量降低抵抗厚度降低到20 nm被发现2-5X 15电动汽车电子超过20,25和30电动汽车电子。利用文献中的散射模型,包括声子散射和光学数据,推导出电子能量损失谱和光学反射法,在10 eV和92 eV之间的非弹性平均自由程值分别在2.8和0.6 nm之间。
{"title":"Study of energy delivery and mean free path of low energy electrons in EUV resists","authors":"Suchit Bhattarai, A. Neureuther, P. Naulleau","doi":"10.1117/12.2220390","DOIUrl":"https://doi.org/10.1117/12.2220390","url":null,"abstract":"The relative importance of secondary electrons in delivering energy in photoresist films was assessed by performing large area exposures and by quantifying the inelastic mean free path of electrons in a leading chemically amplified positive tone EUV resist. A low energy electron microscope was used to directly pattern large (~15μm x 20μm) features with 15-80 eV electrons followed by analyzing the resulting dissolution rate contrast curve data. In the 40 to 80 eV regime the energy delivery was found to scale roughly proportionally with electron energy. In 15 to 30 eV regime however, this energy scaling did not explain the resist thickness loss data. The dose required to lower the resist thickness down to 20 nm was found to be 2-5X larger for 15 eV electrons than for 20, 25 and 30 eV electrons. Using scattering models from the literature including phonon scattering and optical data deduced electron energy loss spectroscopy and optical reflectometry, the inelastic mean free path values at energies between 10 eV and 92 eV range between about 2.8 and 0.6 nm respectively.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"12 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130714542","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
Material analysis techniques used to drive down in-situ mask contamination sources 用于降低原位掩模污染源的材料分析技术
Pub Date : 2016-03-25 DOI: 10.1117/12.2220400
Harm Dillen, G. Rebel, Jennifer Massier, Dominika Grodzinka, R. Bruls
Using SEM-EDS analysis on small (< 200 nm) particles is challenging, especially on a substrate with multiple background elements present. We will show a methodology combining three techniques to get the most information out of small particles. This method combines low energy EDS with a nontraditional approach to improve statistics in EDS and elemental mapping. This methodology is required for ASML’s EUV platform, the NXE scanner to continue system improvement for a system showing already low defect count. The poor particle statistics on particle defects lead to a limited amount of particles available for diagnostics, which implies that all information on particle characteristics should be used for diagnostics.
在小颗粒(< 200 nm)上使用SEM-EDS分析是具有挑战性的,特别是在存在多种背景元素的衬底上。我们将展示一种结合三种技术的方法,从小粒子中获得最多的信息。该方法将低能能谱与非传统方法相结合,提高能谱和元素映射的统计性能。这种方法是ASML的EUV平台所需要的,NXE扫描仪可以继续对已经显示低缺陷计数的系统进行系统改进。关于颗粒缺陷的不良颗粒统计导致可用于诊断的颗粒数量有限,这意味着有关颗粒特征的所有信息都应用于诊断。
{"title":"Material analysis techniques used to drive down in-situ mask contamination sources","authors":"Harm Dillen, G. Rebel, Jennifer Massier, Dominika Grodzinka, R. Bruls","doi":"10.1117/12.2220400","DOIUrl":"https://doi.org/10.1117/12.2220400","url":null,"abstract":"Using SEM-EDS analysis on small (< 200 nm) particles is challenging, especially on a substrate with multiple background elements present. We will show a methodology combining three techniques to get the most information out of small particles. This method combines low energy EDS with a nontraditional approach to improve statistics in EDS and elemental mapping. This methodology is required for ASML’s EUV platform, the NXE scanner to continue system improvement for a system showing already low defect count. The poor particle statistics on particle defects lead to a limited amount of particles available for diagnostics, which implies that all information on particle characteristics should be used for diagnostics.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"9778 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130771850","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Design of new block copolymer systems to achieve thick films with defect-free structures for applications of DSA into lithographic large nodes 设计新的嵌段共聚物体系,实现无缺陷结构的厚膜,用于光刻大节点的DSA应用
Pub Date : 2016-03-25 DOI: 10.1117/12.2219214
X. Chevalier, P. Coupillaud, G. Lombard, C. Nicolet, J. Beausoleil, G. Fleury, M. Zelsmann, P. Bézard, G. Cunge, J. Berron, K. Sakavuyi, A. Gharbi, R. Tiron, G. Hadziioannou, C. Navarro, I. Cayrefourcq
Properties of new block copolymers systems, specifically designed to reach large periods for the features, are compared to the ones exhibited by classical PS-b-PMMA materials of same dimensions. Conducted studies, like free-surface defects analysis, mild-plasma tomography experiments, graphoepitaxy-guided structures, etch-transfer… indicate much better performances, in terms of achievable film-thicknesses with perpendicular features, defects levels, and dimensional uniformities, for the new system than for the classical PS-b-PMMA. These results clearly highlight unique and original solutions toward an early introduction of DSA technology into large lithographic nodes.
新型嵌段共聚物体系的性能,专门设计为达到大周期的特征,与相同尺寸的经典PS-b-PMMA材料所表现出的性能进行了比较。已进行的研究,如自由表面缺陷分析、轻度等离子体断层扫描实验、石墨外晶引导结构、蚀刻转移……表明,就可实现的膜厚度、垂直特征、缺陷水平和尺寸均匀性而言,新系统比经典的PS-b-PMMA具有更好的性能。这些结果清楚地强调了早期将DSA技术引入大型光刻节点的独特和原始解决方案。
{"title":"Design of new block copolymer systems to achieve thick films with defect-free structures for applications of DSA into lithographic large nodes","authors":"X. Chevalier, P. Coupillaud, G. Lombard, C. Nicolet, J. Beausoleil, G. Fleury, M. Zelsmann, P. Bézard, G. Cunge, J. Berron, K. Sakavuyi, A. Gharbi, R. Tiron, G. Hadziioannou, C. Navarro, I. Cayrefourcq","doi":"10.1117/12.2219214","DOIUrl":"https://doi.org/10.1117/12.2219214","url":null,"abstract":"Properties of new block copolymers systems, specifically designed to reach large periods for the features, are compared to the ones exhibited by classical PS-b-PMMA materials of same dimensions. Conducted studies, like free-surface defects analysis, mild-plasma tomography experiments, graphoepitaxy-guided structures, etch-transfer… indicate much better performances, in terms of achievable film-thicknesses with perpendicular features, defects levels, and dimensional uniformities, for the new system than for the classical PS-b-PMMA. These results clearly highlight unique and original solutions toward an early introduction of DSA technology into large lithographic nodes.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"5 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130986590","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Phase field mapping for accurate, ultrafast simulations of directed self-assembly 相场映射精确,超快模拟定向自组装
Pub Date : 2016-03-25 DOI: 10.1117/12.2219311
Jimmy Liu, K. Delaney, G. Fredrickson
Block copolymer self-assembly is a powerful tool for nanoscale patterning which benefits from predictive simulations. Two classes of simulations are self-consistent field theory (SCFT), which is accurate but computationally expensive, and phase field models, which are faster but historically less accurate. We refine a mapping procedure that uses results from SCFT to optimize parameters in a phase field model for diblock copolymers. We validate the performance of this optimized phase field model with regards to accuracy and computational speed in perfect and defective configurations. The optimized phase field model is significantly faster than SCFT and more accurate than previous phase field models, making it a viable design tool for directed self-assembly processes.
嵌段共聚物自组装是一种强大的工具,有利于纳米尺度的模式预测模拟。两类模拟是自洽场理论(SCFT)和相场模型,前者准确但计算成本高,后者更快,但历史上不太准确。我们改进了一个映射程序,该程序使用SCFT的结果来优化二嵌段共聚物相场模型中的参数。我们从精度和计算速度两方面验证了优化后的相场模型在完美和缺陷配置下的性能。优化后的相场模型比SCFT更快,比以前的相场模型更精确,使其成为定向自组装过程的可行设计工具。
{"title":"Phase field mapping for accurate, ultrafast simulations of directed self-assembly","authors":"Jimmy Liu, K. Delaney, G. Fredrickson","doi":"10.1117/12.2219311","DOIUrl":"https://doi.org/10.1117/12.2219311","url":null,"abstract":"Block copolymer self-assembly is a powerful tool for nanoscale patterning which benefits from predictive simulations. Two classes of simulations are self-consistent field theory (SCFT), which is accurate but computationally expensive, and phase field models, which are faster but historically less accurate. We refine a mapping procedure that uses results from SCFT to optimize parameters in a phase field model for diblock copolymers. We validate the performance of this optimized phase field model with regards to accuracy and computational speed in perfect and defective configurations. The optimized phase field model is significantly faster than SCFT and more accurate than previous phase field models, making it a viable design tool for directed self-assembly processes.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"14 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128843763","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
期刊
SPIE Advanced Lithography
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1