J. Stowers, Jeremy T. Anderson, B. Cardineau, B. Clark, P. de Schepper, Joseph Edson, Michael Greer, K. Jiang, M. Kocsis, S. Meyers, Alan J. Telecky, A. Grenville, D. De Simone, W. Gillijns, G. Vandenberghe
Inpria continues to leverage novel metal oxide materials to produce high resolution photoresists for EUV lithography with high optical density and etch resistance. Our resists have previously demonstrated 13nm line/space patterns at 35 mJ/cm2, with extendibility to 10nm half-pitch.1 We have continued to improve photospeed and in this work we provide an update on imaging performance. Since practical patterns for EUV layers will be more complicated than line/space patterns, we also expand on our previous work by demonstrating 2D resist performance using N7 (7nm node) contact and block mask patterns on full field scanners. A resist model has been created and using this model comparisons are made between a metal oxide resist and CAR platforms. Based on this physical model, the impact of shot noise is examined in relation to realistic 2D features. Preliminary data on the effect on OPC of using a non-chemically amplified resist are also presented.
{"title":"Metal oxide EUV photoresist performance for N7 relevant patterns and processes","authors":"J. Stowers, Jeremy T. Anderson, B. Cardineau, B. Clark, P. de Schepper, Joseph Edson, Michael Greer, K. Jiang, M. Kocsis, S. Meyers, Alan J. Telecky, A. Grenville, D. De Simone, W. Gillijns, G. Vandenberghe","doi":"10.1117/12.2219527","DOIUrl":"https://doi.org/10.1117/12.2219527","url":null,"abstract":"Inpria continues to leverage novel metal oxide materials to produce high resolution photoresists for EUV lithography with high optical density and etch resistance. Our resists have previously demonstrated 13nm line/space patterns at 35 mJ/cm2, with extendibility to 10nm half-pitch.1 We have continued to improve photospeed and in this work we provide an update on imaging performance. Since practical patterns for EUV layers will be more complicated than line/space patterns, we also expand on our previous work by demonstrating 2D resist performance using N7 (7nm node) contact and block mask patterns on full field scanners. A resist model has been created and using this model comparisons are made between a metal oxide resist and CAR platforms. Based on this physical model, the impact of shot noise is examined in relation to realistic 2D features. Preliminary data on the effect on OPC of using a non-chemically amplified resist are also presented.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"72 6","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"120897122","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
We present a comprehensive study of the roughness metrics of different resists. Dense line/space (L/S) images of polymethyl methacrylate (PMMA), hydrogen silsesquioxane (HSQ), different chemically amplified resists (CARs), and metal oxide based resists have been patterned by extreme ultraviolet interference lithography (EUV-IL). The three line width roughness metrics: r.m.s. value σLWR, correlation length ξ and roughness exponent α, were measured by metrological analysis of top down SEM images and compared for the different resists imaged here. It was found, that all metrics are required to fully describe the roughness of each resist. Our measurements indicate that few of the state-of-the- art resists tested here can meet the International Technology Roadmap for Semiconductors (ITRS) requirements for σLWR. The correlation length ξ has been found to be considerably higher in polymer-based materials in comparison to non-polymers. The roughness exponent α, interpreted using the concept of fractal geometry, is mainly affected by acid diffusion in CARs where it produces line edges with a higher complexity than in non-CAR resists. These results indicate that different resists platforms show very different LWR resist metrics and roughness is not only manifested in the σLWR but in all parameters. Therefore, all roughness metrics should be taken into account in the performance comparison of the resist, since they can have a substantial impact on the device performance.
{"title":"Comparative study of line roughness metrics of chemically amplified and inorganic resists for EUV","authors":"R. Fallica, E. Buitrago, Y. Ekinci","doi":"10.1117/12.2217766","DOIUrl":"https://doi.org/10.1117/12.2217766","url":null,"abstract":"We present a comprehensive study of the roughness metrics of different resists. Dense line/space (L/S) images of polymethyl methacrylate (PMMA), hydrogen silsesquioxane (HSQ), different chemically amplified resists (CARs), and metal oxide based resists have been patterned by extreme ultraviolet interference lithography (EUV-IL). The three line width roughness metrics: r.m.s. value σLWR, correlation length ξ and roughness exponent α, were measured by metrological analysis of top down SEM images and compared for the different resists imaged here. It was found, that all metrics are required to fully describe the roughness of each resist. Our measurements indicate that few of the state-of-the- art resists tested here can meet the International Technology Roadmap for Semiconductors (ITRS) requirements for σLWR. The correlation length ξ has been found to be considerably higher in polymer-based materials in comparison to non-polymers. The roughness exponent α, interpreted using the concept of fractal geometry, is mainly affected by acid diffusion in CARs where it produces line edges with a higher complexity than in non-CAR resists. These results indicate that different resists platforms show very different LWR resist metrics and roughness is not only manifested in the σLWR but in all parameters. Therefore, all roughness metrics should be taken into account in the performance comparison of the resist, since they can have a substantial impact on the device performance.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"16 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124359422","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Cong Liu, Kevin Rowell, L. Joesten, P. Baranowski, Irvinder Kaur, Wanyi Huang, JoAnne Leonard, Hae-Mi Jeong, Kwang-Hwyi Im, Tom Estelle, C. Cutler, G. Pohlers, Wenyan Yin, P. Fallon, Mingqi Li, H. Jeon, C. Xu, P. Trefonas
As the critical dimension of devices is approaching the resolution limit of 193nm photo lithography, multiple patterning processes have been developed to print smaller CD and pitch. Multiple patterning and other advanced lithographic processes often require the formation of isolated features such as lines or posts by direct lithographic printing. The formation of isolated features with an acceptable process window, however, can pose a challenge as a result of poor aerial image contrast at defocus. Herein we report a novel Chemical Trimming Overcoat (CTO) as an extra step after lithography that allows us to achieve smaller feature size and better process window.
{"title":"Chemical trimming overcoat: an enhancing composition and process for 193nm lithography","authors":"Cong Liu, Kevin Rowell, L. Joesten, P. Baranowski, Irvinder Kaur, Wanyi Huang, JoAnne Leonard, Hae-Mi Jeong, Kwang-Hwyi Im, Tom Estelle, C. Cutler, G. Pohlers, Wenyan Yin, P. Fallon, Mingqi Li, H. Jeon, C. Xu, P. Trefonas","doi":"10.1117/12.2219688","DOIUrl":"https://doi.org/10.1117/12.2219688","url":null,"abstract":"As the critical dimension of devices is approaching the resolution limit of 193nm photo lithography, multiple patterning processes have been developed to print smaller CD and pitch. Multiple patterning and other advanced lithographic processes often require the formation of isolated features such as lines or posts by direct lithographic printing. The formation of isolated features with an acceptable process window, however, can pose a challenge as a result of poor aerial image contrast at defocus. Herein we report a novel Chemical Trimming Overcoat (CTO) as an extra step after lithography that allows us to achieve smaller feature size and better process window.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"2 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125336683","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
N. Yathapu, Steve McGarvey, Justin Brown, Alexander Zhivotovsky
This study explores the feasibility of Automated Defect Classification (ADC) with a Surface Scanning Inspection System (SSIS). The defect classification was based upon scattering sensitivity sizing curves created via modeling of the Bidirectional Reflectance Distribution Function (BRDF). The BRDF allowed for the creation of SSIS sensitivity/sizing curves based upon the optical properties of both the filmed wafer samples and the optical architecture of the SSIS. The elimination of Polystyrene Latex Sphere (PSL) and Silica deposition on both filmed and bare Silicon wafers prior to SSIS recipe creation and ADC creates a challenge for light scattering surface intensity based defect binning. This study explored the theoretical maximal SSIS sensitivity based on native defect recipe creation in conjunction with the maximal sensitivity derived from BRDF modeling recipe creation. Single film and film stack wafers were inspected with recipes based upon BRDF modeling. Following SSIS recipe creation, initially targeting maximal sensitivity, selected recipes were optimized to classify defects commonly found on non-patterned wafers. The results were utilized to determine the ADC binning accuracy of the native defects and evaluate the SSIS recipe creation methodology. A statistically valid sample of defects from the final inspection results of each SSIS recipe and filmed substrate were reviewed post SSIS ADC processing on a Defect Review Scanning Electron Microscope (SEM). Native defect images were collected from each statistically valid defect bin category/size for SEM Review. The data collected from the Defect Review SEM was utilized to determine the statistical purity and accuracy of each SSIS defect classification bin. This paper explores both, commercial and technical, considerations of the elimination of PSL and Silica deposition as a precursor to SSIS recipe creation targeted towards ADC. Successful integration of SSIS ADC in conjunction with recipes created via BRDF modeling has the potential to dramatically reduce the workload requirements of a Defect Review SEM and save a significant amount of capital expenditure for 450mm SSIS recipe creation.
{"title":"Recipe creation for automated defect classification with a 450mm surface scanning inspection system based on the bidirectional reflectance distribution function of native defects","authors":"N. Yathapu, Steve McGarvey, Justin Brown, Alexander Zhivotovsky","doi":"10.1117/12.2222306","DOIUrl":"https://doi.org/10.1117/12.2222306","url":null,"abstract":"This study explores the feasibility of Automated Defect Classification (ADC) with a Surface Scanning Inspection System (SSIS). The defect classification was based upon scattering sensitivity sizing curves created via modeling of the Bidirectional Reflectance Distribution Function (BRDF). The BRDF allowed for the creation of SSIS sensitivity/sizing curves based upon the optical properties of both the filmed wafer samples and the optical architecture of the SSIS. The elimination of Polystyrene Latex Sphere (PSL) and Silica deposition on both filmed and bare Silicon wafers prior to SSIS recipe creation and ADC creates a challenge for light scattering surface intensity based defect binning. This study explored the theoretical maximal SSIS sensitivity based on native defect recipe creation in conjunction with the maximal sensitivity derived from BRDF modeling recipe creation. Single film and film stack wafers were inspected with recipes based upon BRDF modeling. Following SSIS recipe creation, initially targeting maximal sensitivity, selected recipes were optimized to classify defects commonly found on non-patterned wafers. The results were utilized to determine the ADC binning accuracy of the native defects and evaluate the SSIS recipe creation methodology. A statistically valid sample of defects from the final inspection results of each SSIS recipe and filmed substrate were reviewed post SSIS ADC processing on a Defect Review Scanning Electron Microscope (SEM). Native defect images were collected from each statistically valid defect bin category/size for SEM Review. The data collected from the Defect Review SEM was utilized to determine the statistical purity and accuracy of each SSIS defect classification bin. This paper explores both, commercial and technical, considerations of the elimination of PSL and Silica deposition as a precursor to SSIS recipe creation targeted towards ADC. Successful integration of SSIS ADC in conjunction with recipes created via BRDF modeling has the potential to dramatically reduce the workload requirements of a Defect Review SEM and save a significant amount of capital expenditure for 450mm SSIS recipe creation.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"76 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121175556","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Tomoki Nagai, H. Nakagawa, Takehiko Naruoka, S. Tagawa, A. Oshima, S. Nagahara, Gosuke Shiraishi, Kosuke Yoshihara, Y. Terashita, Y. Minekawa, E. Buitrago, Y. Ekinci, O. Yildirim, M. Meeuwissen, R. Hoefnagels, G. Rispens, C. Verspaget, R. Maas
Extreme ultraviolet lithography (EUVL) has been recognized as the most promising candidate for the manufacture of semiconductor devices for the 7 nm node and beyond. A key point in the successful introduction of EUV lithography in high volume manufacture (HVM) is the effective EUV dose utilization while simultaneously realizing ultra-high resolution and low line edge roughness (LER). Here we show EUV resist sensitivity improvement with the use of a photosensitized chemically amplified resist PSCARTM system. The evaluation of this new chemically amplified resist (CAR) as performed using EUV interference lithography (EUV-IL) is described and the fundamentals are discussed.
{"title":"Novel high sensitivity EUV photoresist for sub-7nm node","authors":"Tomoki Nagai, H. Nakagawa, Takehiko Naruoka, S. Tagawa, A. Oshima, S. Nagahara, Gosuke Shiraishi, Kosuke Yoshihara, Y. Terashita, Y. Minekawa, E. Buitrago, Y. Ekinci, O. Yildirim, M. Meeuwissen, R. Hoefnagels, G. Rispens, C. Verspaget, R. Maas","doi":"10.1117/12.2218936","DOIUrl":"https://doi.org/10.1117/12.2218936","url":null,"abstract":"Extreme ultraviolet lithography (EUVL) has been recognized as the most promising candidate for the manufacture of semiconductor devices for the 7 nm node and beyond. A key point in the successful introduction of EUV lithography in high volume manufacture (HVM) is the effective EUV dose utilization while simultaneously realizing ultra-high resolution and low line edge roughness (LER). Here we show EUV resist sensitivity improvement with the use of a photosensitized chemically amplified resist PSCARTM system. The evaluation of this new chemically amplified resist (CAR) as performed using EUV interference lithography (EUV-IL) is described and the fundamentals are discussed.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"29 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116863804","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
V. Constantoudis, V. Kuppuswamy, E. Gogolides, A. V. Pret, H. Pathangi, R. Gronheid
DSA lithography poses new challenges in LER/LWR metrology due to its self-organized and pitch-based nature. To cope with these challenges, a novel characterization approach with new metrics and updating the older ones is required. To this end, we focus on two specific challenges of DSA line patterns: a) the large correlations between the left and right edges of a line (line wiggling, rms(LWR)
{"title":"Challenges in LER/CDU metrology in DSA: placement error and cross-line correlations","authors":"V. Constantoudis, V. Kuppuswamy, E. Gogolides, A. V. Pret, H. Pathangi, R. Gronheid","doi":"10.1117/12.2230849","DOIUrl":"https://doi.org/10.1117/12.2230849","url":null,"abstract":"DSA lithography poses new challenges in LER/LWR metrology due to its self-organized and pitch-based nature. To cope with these challenges, a novel characterization approach with new metrics and updating the older ones is required. To this end, we focus on two specific challenges of DSA line patterns: a) the large correlations between the left and right edges of a line (line wiggling, rms(LWR)<rms(LER)) and b) the cross-line correlations, i.e. the resemblance of wiggling fluctuations of nearby lines. The first is quantified by the Line Center Roughness whose low-frequency part is related to the local placement errors of device structures. For the second, we propose the c-factor correlation function which quantifies the strength of the correlations between lines versus their horizontal distance in pitches. Also, we define roughness and uniformity parameters for the pitch changes along and across lines. The proposed characterization approach is applied to the analysis of line/space patterns obtained with the Liu-Nealey (LiNe) flow (post PMMA removal and pattern transfer) revealing the effects of pattern transfer on roughness and uniformity. Finally, we calculate the cfactor function of various Next-Generation Lithography techniques and reveal their distinct footprint on the extent of cross-line correlations.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"19 1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123463445","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Understanding of stochastic phenomena is essential to the development of highly sensitive resist for nanofabrication. In this study, we investigated the stochastic effects in a chemically amplified resist consisting of poly(4-hydroxystyrene-co-t-butyl methacrylate), triphenylsulfonium nonafluorobutanesulfonate (an acid generator), and tri-n-octylamine (a quencher). The SEM images of resist patterns were analyzed, using Monte Carlo simulation on the basis of the sensitization and reaction mechanisms of chemically amplified extreme ultraviolet resists. It was estimated that ±0.82σ fluctuation of the number of protected units per polymer molecule led to line edge roughness formation. Here, σ is the standard deviation of the number of protected units per polymer molecule after postexposure baking.
{"title":"Study on stochastic phenomena induced in chemically amplified poly(4-hydroxystyrene-co-t-butyl methacrylate) resist (high performance model resist for extreme ultraviolet lithography)","authors":"T. Kozawa, J. Santillan, T. Itani","doi":"10.1117/12.2218839","DOIUrl":"https://doi.org/10.1117/12.2218839","url":null,"abstract":"Understanding of stochastic phenomena is essential to the development of highly sensitive resist for nanofabrication. In this study, we investigated the stochastic effects in a chemically amplified resist consisting of poly(4-hydroxystyrene-co-t-butyl methacrylate), triphenylsulfonium nonafluorobutanesulfonate (an acid generator), and tri-n-octylamine (a quencher). The SEM images of resist patterns were analyzed, using Monte Carlo simulation on the basis of the sensitization and reaction mechanisms of chemically amplified extreme ultraviolet resists. It was estimated that ±0.82σ fluctuation of the number of protected units per polymer molecule led to line edge roughness formation. Here, σ is the standard deviation of the number of protected units per polymer molecule after postexposure baking.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"105 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128609525","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
S. Halder, J. Mailfert, P. Leray, D. Rio, Yi-Hsing Peng, B. Laenens
Process-window (PW) evaluation is critical to assess the lithography process quality and limitations. Usual CD-based PW gives only a partial answer. Simulations such as Tachyon LMC (Lithography Manufacturability Check) can efficiently overcome this limitation by analyzing the entire predicted resist contours. But so far experimental measurements did not allow such flexibility. This paper shows an innovative experimental flow, which allows the user to directly validate LMC results across PW for a select group of reference patterns, thereby overcoming the limitations found in the traditional CD-based PW analysis. To evaluate the process window on wafer more accurately, we take advantage of design based metrology and extract experimental contours from the CD-SEM measurements. Then we implement an area metric to quantify the area coverage of the experimental contours with respect to the intended ones, using a defined “sectorization” for the logic structures. This ‘sectorization’ aims to differentiate specific areas on the logic structures being analyzed, such as corners, line-ends, short and long lines. This way, a complete evaluation of the information contained in each CD-SEM picture is performed, without having to discard any information. This solution doesn’t look at the area coverage of an entire feature, but uses a ‘sectorization’ to differentiate specific feature areas such as corners, line-ends, short and long lines, and thus look at those area coverages. An assessment of resist model/OPC quality/process quality at sub nm-level accuracy is rendered possible.
{"title":"Design-based metrology: beyond CD/EPE metrics to evaluate printability performance","authors":"S. Halder, J. Mailfert, P. Leray, D. Rio, Yi-Hsing Peng, B. Laenens","doi":"10.1117/12.2221894","DOIUrl":"https://doi.org/10.1117/12.2221894","url":null,"abstract":"Process-window (PW) evaluation is critical to assess the lithography process quality and limitations. Usual CD-based PW gives only a partial answer. Simulations such as Tachyon LMC (Lithography Manufacturability Check) can efficiently overcome this limitation by analyzing the entire predicted resist contours. But so far experimental measurements did not allow such flexibility. This paper shows an innovative experimental flow, which allows the user to directly validate LMC results across PW for a select group of reference patterns, thereby overcoming the limitations found in the traditional CD-based PW analysis. To evaluate the process window on wafer more accurately, we take advantage of design based metrology and extract experimental contours from the CD-SEM measurements. Then we implement an area metric to quantify the area coverage of the experimental contours with respect to the intended ones, using a defined “sectorization” for the logic structures. This ‘sectorization’ aims to differentiate specific areas on the logic structures being analyzed, such as corners, line-ends, short and long lines. This way, a complete evaluation of the information contained in each CD-SEM picture is performed, without having to discard any information. This solution doesn’t look at the area coverage of an entire feature, but uses a ‘sectorization’ to differentiate specific feature areas such as corners, line-ends, short and long lines, and thus look at those area coverages. An assessment of resist model/OPC quality/process quality at sub nm-level accuracy is rendered possible.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"30 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130793784","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
The lithographic performance of photoresists is a function of the vertical distribution of formulation components, such as photoacid generator (PAG) molecules, in photoresist thin films and how these components undergo chemical modification and migrate within the film during the lithography processing steps. This paper will discuss how GCIB-SIMS depth profiles were used to monitor the PAG and quencher base distributions before and after exposure and post-exposure bake processing steps for different PAG/photoresist formulations. The authors show that the use of surface active quencher in an NTD photoresist leads to better resist profiles, superior DOF and better OPC performance.
{"title":"Additive chemistry and distributions in NTD photoresist thin films","authors":"J. Thackeray, C. Hong, M. Clark","doi":"10.1117/12.2219743","DOIUrl":"https://doi.org/10.1117/12.2219743","url":null,"abstract":"The lithographic performance of photoresists is a function of the vertical distribution of formulation components, such as photoacid generator (PAG) molecules, in photoresist thin films and how these components undergo chemical modification and migrate within the film during the lithography processing steps. This paper will discuss how GCIB-SIMS depth profiles were used to monitor the PAG and quencher base distributions before and after exposure and post-exposure bake processing steps for different PAG/photoresist formulations. The authors show that the use of surface active quencher in an NTD photoresist leads to better resist profiles, superior DOF and better OPC performance.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"42 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133961286","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
A. V. Pret, M. Kocsis, D. De Simone, G. Vandenberghe, J. Stowers, A. Giglia, P. de Schepper, A. Mani, J. Biafore
Metal-based photoresists are appealing for use in EUV lithography due to their improved etch resistance and absorption compared with organic resists, and due to their resolving power demonstrated with 13.53 nm exposures using synchrotron light. Recently imec has started a new project to study novel photoresists for EUV lithography, with particular attention to metal containing materials, in order to explore alternative approaches that may offer superior characteristics in photoresist imaging and etching performance compared with more mature chemically amplified resists. In order to model these novel resists it is mandatory to understand both the optical properties and the electronic response to photon absorption. As in previous experiments on organic materials, some of the optical properties can be determined by merging analysis from high-energy electron scattering models (e.g. CXRO website), X-ray absorption spectroscopy, and DUV spectroscopic ellipsometry. Dispersion curves can be used to calculate the electronic inelastic and elastic mean-free paths; convolved with the expected spectrum at wafer level it is possible to estimate the electron yield and the secondary electron blur of the photoresist. These material properties can be used to modify the physical models currently used to simulate organic photoresist performance in computational lithography software.
{"title":"Characterizing and modeling electrical response to light for metal-based EUV photoresists","authors":"A. V. Pret, M. Kocsis, D. De Simone, G. Vandenberghe, J. Stowers, A. Giglia, P. de Schepper, A. Mani, J. Biafore","doi":"10.1117/12.2219736","DOIUrl":"https://doi.org/10.1117/12.2219736","url":null,"abstract":"Metal-based photoresists are appealing for use in EUV lithography due to their improved etch resistance and absorption compared with organic resists, and due to their resolving power demonstrated with 13.53 nm exposures using synchrotron light. Recently imec has started a new project to study novel photoresists for EUV lithography, with particular attention to metal containing materials, in order to explore alternative approaches that may offer superior characteristics in photoresist imaging and etching performance compared with more mature chemically amplified resists. In order to model these novel resists it is mandatory to understand both the optical properties and the electronic response to photon absorption. As in previous experiments on organic materials, some of the optical properties can be determined by merging analysis from high-energy electron scattering models (e.g. CXRO website), X-ray absorption spectroscopy, and DUV spectroscopic ellipsometry. Dispersion curves can be used to calculate the electronic inelastic and elastic mean-free paths; convolved with the expected spectrum at wafer level it is possible to estimate the electron yield and the secondary electron blur of the photoresist. These material properties can be used to modify the physical models currently used to simulate organic photoresist performance in computational lithography software.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"9 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124354172","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}