首页 > 最新文献

SPIE Advanced Lithography最新文献

英文 中文
Metal oxide EUV photoresist performance for N7 relevant patterns and processes 金属氧化物EUV光刻胶性能与N7相关图样及工艺
Pub Date : 2016-03-25 DOI: 10.1117/12.2219527
J. Stowers, Jeremy T. Anderson, B. Cardineau, B. Clark, P. de Schepper, Joseph Edson, Michael Greer, K. Jiang, M. Kocsis, S. Meyers, Alan J. Telecky, A. Grenville, D. De Simone, W. Gillijns, G. Vandenberghe
Inpria continues to leverage novel metal oxide materials to produce high resolution photoresists for EUV lithography with high optical density and etch resistance. Our resists have previously demonstrated 13nm line/space patterns at 35 mJ/cm2, with extendibility to 10nm half-pitch.1 We have continued to improve photospeed and in this work we provide an update on imaging performance. Since practical patterns for EUV layers will be more complicated than line/space patterns, we also expand on our previous work by demonstrating 2D resist performance using N7 (7nm node) contact and block mask patterns on full field scanners. A resist model has been created and using this model comparisons are made between a metal oxide resist and CAR platforms. Based on this physical model, the impact of shot noise is examined in relation to realistic 2D features. Preliminary data on the effect on OPC of using a non-chemically amplified resist are also presented.
Inpria继续利用新型金属氧化物材料为EUV光刻生产高分辨率光刻胶,具有高光密度和耐蚀刻性。我们的抗蚀剂先前已经在35 mJ/cm2下展示了13nm线/空间模式,可扩展到10nm半节距我们不断提高照相速度,在这项工作中,我们提供了成像性能的更新。由于EUV层的实际模式将比线/空间模式更复杂,我们还通过在全场扫描仪上使用N7 (7nm节点)接触和块掩模模式演示2D抗蚀性能来扩展我们之前的工作。创建了抗蚀剂模型,并使用该模型对金属氧化物抗蚀剂和CAR平台进行了比较。在此物理模型的基础上,结合真实的二维特征考察了射击噪声的影响。本文还介绍了使用非化学放大抗蚀剂对OPC影响的初步数据。
{"title":"Metal oxide EUV photoresist performance for N7 relevant patterns and processes","authors":"J. Stowers, Jeremy T. Anderson, B. Cardineau, B. Clark, P. de Schepper, Joseph Edson, Michael Greer, K. Jiang, M. Kocsis, S. Meyers, Alan J. Telecky, A. Grenville, D. De Simone, W. Gillijns, G. Vandenberghe","doi":"10.1117/12.2219527","DOIUrl":"https://doi.org/10.1117/12.2219527","url":null,"abstract":"Inpria continues to leverage novel metal oxide materials to produce high resolution photoresists for EUV lithography with high optical density and etch resistance. Our resists have previously demonstrated 13nm line/space patterns at 35 mJ/cm2, with extendibility to 10nm half-pitch.1 We have continued to improve photospeed and in this work we provide an update on imaging performance. Since practical patterns for EUV layers will be more complicated than line/space patterns, we also expand on our previous work by demonstrating 2D resist performance using N7 (7nm node) contact and block mask patterns on full field scanners. A resist model has been created and using this model comparisons are made between a metal oxide resist and CAR platforms. Based on this physical model, the impact of shot noise is examined in relation to realistic 2D features. Preliminary data on the effect on OPC of using a non-chemically amplified resist are also presented.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"72 6","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"120897122","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 32
Comparative study of line roughness metrics of chemically amplified and inorganic resists for EUV EUV用化学放大和无机电阻线粗糙度指标的比较研究
Pub Date : 2016-03-25 DOI: 10.1117/12.2217766
R. Fallica, E. Buitrago, Y. Ekinci
We present a comprehensive study of the roughness metrics of different resists. Dense line/space (L/S) images of polymethyl methacrylate (PMMA), hydrogen silsesquioxane (HSQ), different chemically amplified resists (CARs), and metal oxide based resists have been patterned by extreme ultraviolet interference lithography (EUV-IL). The three line width roughness metrics: r.m.s. value σLWR, correlation length ξ and roughness exponent α, were measured by metrological analysis of top down SEM images and compared for the different resists imaged here. It was found, that all metrics are required to fully describe the roughness of each resist. Our measurements indicate that few of the state-of-the- art resists tested here can meet the International Technology Roadmap for Semiconductors (ITRS) requirements for σLWR. The correlation length ξ has been found to be considerably higher in polymer-based materials in comparison to non-polymers. The roughness exponent α, interpreted using the concept of fractal geometry, is mainly affected by acid diffusion in CARs where it produces line edges with a higher complexity than in non-CAR resists. These results indicate that different resists platforms show very different LWR resist metrics and roughness is not only manifested in the σLWR but in all parameters. Therefore, all roughness metrics should be taken into account in the performance comparison of the resist, since they can have a substantial impact on the device performance.
我们提出了一个全面的研究粗糙度指标的不同的阻力。利用极紫外干涉光刻技术(EUV-IL)对聚甲基丙烯酸甲酯(PMMA)、氢硅氧烷(HSQ)、不同的化学放大抗蚀剂(CARs)和金属氧化物基抗蚀剂进行了密集线/空(L/S)成像。采用自顶向下扫描电镜(SEM)图像的计量分析方法,测量了三种线宽粗糙度指标:均方根值σLWR、相关长度ξ和粗糙度指数α。我们发现,所有的指标都需要完全描述每个抗蚀剂的粗糙度。我们的测量表明,在这里测试的最先进的电阻中,很少能满足国际半导体技术路线图(ITRS)对σLWR的要求。相关长度ξ已被发现是相当高的聚合物基材料相比,非聚合物。使用分形几何概念解释的粗糙度指数α主要受酸扩散的影响,在car中产生的线边缘比在非car中产生的线边缘更复杂。结果表明,不同抗蚀剂平台的抗蚀指标差异很大,粗糙度不仅体现在σLWR上,而且体现在各参数上。因此,在抗蚀剂的性能比较中应考虑所有粗糙度指标,因为它们可能对器件性能产生重大影响。
{"title":"Comparative study of line roughness metrics of chemically amplified and inorganic resists for EUV","authors":"R. Fallica, E. Buitrago, Y. Ekinci","doi":"10.1117/12.2217766","DOIUrl":"https://doi.org/10.1117/12.2217766","url":null,"abstract":"We present a comprehensive study of the roughness metrics of different resists. Dense line/space (L/S) images of polymethyl methacrylate (PMMA), hydrogen silsesquioxane (HSQ), different chemically amplified resists (CARs), and metal oxide based resists have been patterned by extreme ultraviolet interference lithography (EUV-IL). The three line width roughness metrics: r.m.s. value σLWR, correlation length ξ and roughness exponent α, were measured by metrological analysis of top down SEM images and compared for the different resists imaged here. It was found, that all metrics are required to fully describe the roughness of each resist. Our measurements indicate that few of the state-of-the- art resists tested here can meet the International Technology Roadmap for Semiconductors (ITRS) requirements for σLWR. The correlation length ξ has been found to be considerably higher in polymer-based materials in comparison to non-polymers. The roughness exponent α, interpreted using the concept of fractal geometry, is mainly affected by acid diffusion in CARs where it produces line edges with a higher complexity than in non-CAR resists. These results indicate that different resists platforms show very different LWR resist metrics and roughness is not only manifested in the σLWR but in all parameters. Therefore, all roughness metrics should be taken into account in the performance comparison of the resist, since they can have a substantial impact on the device performance.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"16 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124359422","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Chemical trimming overcoat: an enhancing composition and process for 193nm lithography 化学修整涂层:用于193nm光刻的增强成分和工艺
Pub Date : 2016-03-25 DOI: 10.1117/12.2219688
Cong Liu, Kevin Rowell, L. Joesten, P. Baranowski, Irvinder Kaur, Wanyi Huang, JoAnne Leonard, Hae-Mi Jeong, Kwang-Hwyi Im, Tom Estelle, C. Cutler, G. Pohlers, Wenyan Yin, P. Fallon, Mingqi Li, H. Jeon, C. Xu, P. Trefonas
As the critical dimension of devices is approaching the resolution limit of 193nm photo lithography, multiple patterning processes have been developed to print smaller CD and pitch. Multiple patterning and other advanced lithographic processes often require the formation of isolated features such as lines or posts by direct lithographic printing. The formation of isolated features with an acceptable process window, however, can pose a challenge as a result of poor aerial image contrast at defocus. Herein we report a novel Chemical Trimming Overcoat (CTO) as an extra step after lithography that allows us to achieve smaller feature size and better process window.
随着器件的关键尺寸接近193nm光刻的分辨率极限,多种图像化工艺被开发出来以打印更小的CD和间距。多重图案和其他先进的平版印刷工艺通常需要通过直接平版印刷形成孤立的特征,如线条或柱子。然而,在可接受的处理窗口内形成孤立的特征,可能会由于散焦时航空图像对比度差而构成挑战。在此,我们报告了一种新的化学修整涂层(CTO)作为光刻后的额外步骤,使我们能够实现更小的特征尺寸和更好的工艺窗口。
{"title":"Chemical trimming overcoat: an enhancing composition and process for 193nm lithography","authors":"Cong Liu, Kevin Rowell, L. Joesten, P. Baranowski, Irvinder Kaur, Wanyi Huang, JoAnne Leonard, Hae-Mi Jeong, Kwang-Hwyi Im, Tom Estelle, C. Cutler, G. Pohlers, Wenyan Yin, P. Fallon, Mingqi Li, H. Jeon, C. Xu, P. Trefonas","doi":"10.1117/12.2219688","DOIUrl":"https://doi.org/10.1117/12.2219688","url":null,"abstract":"As the critical dimension of devices is approaching the resolution limit of 193nm photo lithography, multiple patterning processes have been developed to print smaller CD and pitch. Multiple patterning and other advanced lithographic processes often require the formation of isolated features such as lines or posts by direct lithographic printing. The formation of isolated features with an acceptable process window, however, can pose a challenge as a result of poor aerial image contrast at defocus. Herein we report a novel Chemical Trimming Overcoat (CTO) as an extra step after lithography that allows us to achieve smaller feature size and better process window.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"2 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125336683","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Recipe creation for automated defect classification with a 450mm surface scanning inspection system based on the bidirectional reflectance distribution function of native defects 基于原生缺陷双向反射分布函数的450mm表面扫描检测系统缺陷自动分类配方创建
Pub Date : 2016-03-25 DOI: 10.1117/12.2222306
N. Yathapu, Steve McGarvey, Justin Brown, Alexander Zhivotovsky
This study explores the feasibility of Automated Defect Classification (ADC) with a Surface Scanning Inspection System (SSIS). The defect classification was based upon scattering sensitivity sizing curves created via modeling of the Bidirectional Reflectance Distribution Function (BRDF). The BRDF allowed for the creation of SSIS sensitivity/sizing curves based upon the optical properties of both the filmed wafer samples and the optical architecture of the SSIS. The elimination of Polystyrene Latex Sphere (PSL) and Silica deposition on both filmed and bare Silicon wafers prior to SSIS recipe creation and ADC creates a challenge for light scattering surface intensity based defect binning. This study explored the theoretical maximal SSIS sensitivity based on native defect recipe creation in conjunction with the maximal sensitivity derived from BRDF modeling recipe creation. Single film and film stack wafers were inspected with recipes based upon BRDF modeling. Following SSIS recipe creation, initially targeting maximal sensitivity, selected recipes were optimized to classify defects commonly found on non-patterned wafers. The results were utilized to determine the ADC binning accuracy of the native defects and evaluate the SSIS recipe creation methodology. A statistically valid sample of defects from the final inspection results of each SSIS recipe and filmed substrate were reviewed post SSIS ADC processing on a Defect Review Scanning Electron Microscope (SEM). Native defect images were collected from each statistically valid defect bin category/size for SEM Review. The data collected from the Defect Review SEM was utilized to determine the statistical purity and accuracy of each SSIS defect classification bin. This paper explores both, commercial and technical, considerations of the elimination of PSL and Silica deposition as a precursor to SSIS recipe creation targeted towards ADC. Successful integration of SSIS ADC in conjunction with recipes created via BRDF modeling has the potential to dramatically reduce the workload requirements of a Defect Review SEM and save a significant amount of capital expenditure for 450mm SSIS recipe creation.
本研究探讨了表面扫描检测系统(SSIS)自动缺陷分类(ADC)的可行性。缺陷分类基于双向反射分布函数(BRDF)建模生成的散射灵敏度分级曲线。BRDF允许根据薄膜晶圆样品的光学特性和SSIS的光学结构创建SSIS的灵敏度/尺寸曲线。在SSIS配方创建和ADC之前,在薄膜硅片和裸硅片上消除聚苯乙烯乳胶球(PSL)和二氧化硅沉积,对基于光散射表面强度的缺陷分类提出了挑战。本研究结合BRDF建模配方创建的最大灵敏度,探讨了基于本地缺陷配方创建的理论最大SSIS灵敏度。采用基于BRDF模型的配方对单层和叠层晶圆片进行了检测。在SSIS配方创建之后,最初的目标是最大灵敏度,选定的配方被优化,以分类非图像化晶圆上常见的缺陷。结果用于确定原生缺陷的ADC分形精度和评价SSIS配方创建方法。从每个SSIS配方和薄膜衬底的最终检验结果中统计有效的缺陷样本在SSIS ADC处理后在缺陷审查扫描电子显微镜(SEM)上进行了审查。从每个统计上有效的缺陷分类/大小中收集原生缺陷图像用于SEM评审。从缺陷评审SEM中收集的数据用于确定每个SSIS缺陷分类仓的统计纯度和准确性。本文从商业和技术两方面探讨了消除PSL和二氧化硅沉积作为针对ADC的SSIS配方创建的前体的考虑。将SSIS ADC与通过BRDF建模创建的配方成功集成,有可能显著减少缺陷审查SEM的工作量需求,并为450mm SSIS配方创建节省大量资本支出。
{"title":"Recipe creation for automated defect classification with a 450mm surface scanning inspection system based on the bidirectional reflectance distribution function of native defects","authors":"N. Yathapu, Steve McGarvey, Justin Brown, Alexander Zhivotovsky","doi":"10.1117/12.2222306","DOIUrl":"https://doi.org/10.1117/12.2222306","url":null,"abstract":"This study explores the feasibility of Automated Defect Classification (ADC) with a Surface Scanning Inspection System (SSIS). The defect classification was based upon scattering sensitivity sizing curves created via modeling of the Bidirectional Reflectance Distribution Function (BRDF). The BRDF allowed for the creation of SSIS sensitivity/sizing curves based upon the optical properties of both the filmed wafer samples and the optical architecture of the SSIS. The elimination of Polystyrene Latex Sphere (PSL) and Silica deposition on both filmed and bare Silicon wafers prior to SSIS recipe creation and ADC creates a challenge for light scattering surface intensity based defect binning. This study explored the theoretical maximal SSIS sensitivity based on native defect recipe creation in conjunction with the maximal sensitivity derived from BRDF modeling recipe creation. Single film and film stack wafers were inspected with recipes based upon BRDF modeling. Following SSIS recipe creation, initially targeting maximal sensitivity, selected recipes were optimized to classify defects commonly found on non-patterned wafers. The results were utilized to determine the ADC binning accuracy of the native defects and evaluate the SSIS recipe creation methodology. A statistically valid sample of defects from the final inspection results of each SSIS recipe and filmed substrate were reviewed post SSIS ADC processing on a Defect Review Scanning Electron Microscope (SEM). Native defect images were collected from each statistically valid defect bin category/size for SEM Review. The data collected from the Defect Review SEM was utilized to determine the statistical purity and accuracy of each SSIS defect classification bin. This paper explores both, commercial and technical, considerations of the elimination of PSL and Silica deposition as a precursor to SSIS recipe creation targeted towards ADC. Successful integration of SSIS ADC in conjunction with recipes created via BRDF modeling has the potential to dramatically reduce the workload requirements of a Defect Review SEM and save a significant amount of capital expenditure for 450mm SSIS recipe creation.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"76 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121175556","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Novel high sensitivity EUV photoresist for sub-7nm node 用于亚7nm节点的新型高灵敏度EUV光刻胶
Pub Date : 2016-03-25 DOI: 10.1117/12.2218936
Tomoki Nagai, H. Nakagawa, Takehiko Naruoka, S. Tagawa, A. Oshima, S. Nagahara, Gosuke Shiraishi, Kosuke Yoshihara, Y. Terashita, Y. Minekawa, E. Buitrago, Y. Ekinci, O. Yildirim, M. Meeuwissen, R. Hoefnagels, G. Rispens, C. Verspaget, R. Maas
Extreme ultraviolet lithography (EUVL) has been recognized as the most promising candidate for the manufacture of semiconductor devices for the 7 nm node and beyond. A key point in the successful introduction of EUV lithography in high volume manufacture (HVM) is the effective EUV dose utilization while simultaneously realizing ultra-high resolution and low line edge roughness (LER). Here we show EUV resist sensitivity improvement with the use of a photosensitized chemically amplified resist PSCARTM system. The evaluation of this new chemically amplified resist (CAR) as performed using EUV interference lithography (EUV-IL) is described and the fundamentals are discussed.
极紫外光刻技术(EUVL)已被认为是制造7纳米及以上节点半导体器件的最有前途的候选技术。将极紫外光刻技术成功引入大批量生产(HVM)的关键是在实现超高分辨率和低线边缘粗糙度(LER)的同时有效利用极紫外光剂量。在这里,我们展示了使用光敏化学放大抗蚀剂PSCARTM系统提高EUV抗蚀剂的灵敏度。本文描述了用EUV干涉光刻(EUV- il)对这种新型化学放大抗蚀剂(CAR)的评价,并讨论了其基本原理。
{"title":"Novel high sensitivity EUV photoresist for sub-7nm node","authors":"Tomoki Nagai, H. Nakagawa, Takehiko Naruoka, S. Tagawa, A. Oshima, S. Nagahara, Gosuke Shiraishi, Kosuke Yoshihara, Y. Terashita, Y. Minekawa, E. Buitrago, Y. Ekinci, O. Yildirim, M. Meeuwissen, R. Hoefnagels, G. Rispens, C. Verspaget, R. Maas","doi":"10.1117/12.2218936","DOIUrl":"https://doi.org/10.1117/12.2218936","url":null,"abstract":"Extreme ultraviolet lithography (EUVL) has been recognized as the most promising candidate for the manufacture of semiconductor devices for the 7 nm node and beyond. A key point in the successful introduction of EUV lithography in high volume manufacture (HVM) is the effective EUV dose utilization while simultaneously realizing ultra-high resolution and low line edge roughness (LER). Here we show EUV resist sensitivity improvement with the use of a photosensitized chemically amplified resist PSCARTM system. The evaluation of this new chemically amplified resist (CAR) as performed using EUV interference lithography (EUV-IL) is described and the fundamentals are discussed.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"29 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116863804","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 27
Challenges in LER/CDU metrology in DSA: placement error and cross-line correlations DSA中LER/CDU计量的挑战:放置误差和跨线相关性
Pub Date : 2016-03-25 DOI: 10.1117/12.2230849
V. Constantoudis, V. Kuppuswamy, E. Gogolides, A. V. Pret, H. Pathangi, R. Gronheid
DSA lithography poses new challenges in LER/LWR metrology due to its self-organized and pitch-based nature. To cope with these challenges, a novel characterization approach with new metrics and updating the older ones is required. To this end, we focus on two specific challenges of DSA line patterns: a) the large correlations between the left and right edges of a line (line wiggling, rms(LWR)
DSA光刻由于其自组织和基于节距的特性,对LER/LWR计量提出了新的挑战。为了应对这些挑战,需要一种具有新指标和更新旧指标的新颖表征方法。为此,我们关注DSA线模式的两个具体挑战:a)线的左右边缘之间的大相关性(线摆动,rms(LWR)
{"title":"Challenges in LER/CDU metrology in DSA: placement error and cross-line correlations","authors":"V. Constantoudis, V. Kuppuswamy, E. Gogolides, A. V. Pret, H. Pathangi, R. Gronheid","doi":"10.1117/12.2230849","DOIUrl":"https://doi.org/10.1117/12.2230849","url":null,"abstract":"DSA lithography poses new challenges in LER/LWR metrology due to its self-organized and pitch-based nature. To cope with these challenges, a novel characterization approach with new metrics and updating the older ones is required. To this end, we focus on two specific challenges of DSA line patterns: a) the large correlations between the left and right edges of a line (line wiggling, rms(LWR)<rms(LER)) and b) the cross-line correlations, i.e. the resemblance of wiggling fluctuations of nearby lines. The first is quantified by the Line Center Roughness whose low-frequency part is related to the local placement errors of device structures. For the second, we propose the c-factor correlation function which quantifies the strength of the correlations between lines versus their horizontal distance in pitches. Also, we define roughness and uniformity parameters for the pitch changes along and across lines. The proposed characterization approach is applied to the analysis of line/space patterns obtained with the Liu-Nealey (LiNe) flow (post PMMA removal and pattern transfer) revealing the effects of pattern transfer on roughness and uniformity. Finally, we calculate the cfactor function of various Next-Generation Lithography techniques and reveal their distinct footprint on the extent of cross-line correlations.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"19 1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123463445","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Study on stochastic phenomena induced in chemically amplified poly(4-hydroxystyrene-co-t-butyl methacrylate) resist (high performance model resist for extreme ultraviolet lithography) 化学放大聚(4-羟基苯乙烯-co-t-甲基丙烯酸丁酯)抗蚀剂(极紫外光刻用高性能模型抗蚀剂)随机现象的研究
Pub Date : 2016-03-25 DOI: 10.1117/12.2218839
T. Kozawa, J. Santillan, T. Itani
Understanding of stochastic phenomena is essential to the development of highly sensitive resist for nanofabrication. In this study, we investigated the stochastic effects in a chemically amplified resist consisting of poly(4-hydroxystyrene-co-t-butyl methacrylate), triphenylsulfonium nonafluorobutanesulfonate (an acid generator), and tri-n-octylamine (a quencher). The SEM images of resist patterns were analyzed, using Monte Carlo simulation on the basis of the sensitization and reaction mechanisms of chemically amplified extreme ultraviolet resists. It was estimated that ±0.82σ fluctuation of the number of protected units per polymer molecule led to line edge roughness formation. Here, σ is the standard deviation of the number of protected units per polymer molecule after postexposure baking.
对随机现象的理解是开发高灵敏度纳米抗蚀剂的关键。在这项研究中,我们研究了由聚(4-羟基苯乙烯-甲基丙烯酸正丁酯)、三苯磺酸非氟丁磺酸(一种酸发生器)和三正辛胺(一种猝灭剂)组成的化学放大抗蚀剂的随机效应。利用蒙特卡罗模拟方法,分析了化学扩增极紫外抗蚀剂的增敏机理和反应机理,并对抗蚀剂的SEM图像进行了分析。估计每个聚合物分子保护单元数的±0.82σ波动导致线边缘粗糙度的形成。其中,σ是暴露后烘烤后每个聚合物分子受保护单元数的标准差。
{"title":"Study on stochastic phenomena induced in chemically amplified poly(4-hydroxystyrene-co-t-butyl methacrylate) resist (high performance model resist for extreme ultraviolet lithography)","authors":"T. Kozawa, J. Santillan, T. Itani","doi":"10.1117/12.2218839","DOIUrl":"https://doi.org/10.1117/12.2218839","url":null,"abstract":"Understanding of stochastic phenomena is essential to the development of highly sensitive resist for nanofabrication. In this study, we investigated the stochastic effects in a chemically amplified resist consisting of poly(4-hydroxystyrene-co-t-butyl methacrylate), triphenylsulfonium nonafluorobutanesulfonate (an acid generator), and tri-n-octylamine (a quencher). The SEM images of resist patterns were analyzed, using Monte Carlo simulation on the basis of the sensitization and reaction mechanisms of chemically amplified extreme ultraviolet resists. It was estimated that ±0.82σ fluctuation of the number of protected units per polymer molecule led to line edge roughness formation. Here, σ is the standard deviation of the number of protected units per polymer molecule after postexposure baking.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"105 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128609525","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Design-based metrology: beyond CD/EPE metrics to evaluate printability performance 基于设计的计量:超越CD/EPE度量来评估可印刷性性能
Pub Date : 2016-03-25 DOI: 10.1117/12.2221894
S. Halder, J. Mailfert, P. Leray, D. Rio, Yi-Hsing Peng, B. Laenens
Process-window (PW) evaluation is critical to assess the lithography process quality and limitations. Usual CD-based PW gives only a partial answer. Simulations such as Tachyon LMC (Lithography Manufacturability Check) can efficiently overcome this limitation by analyzing the entire predicted resist contours. But so far experimental measurements did not allow such flexibility. This paper shows an innovative experimental flow, which allows the user to directly validate LMC results across PW for a select group of reference patterns, thereby overcoming the limitations found in the traditional CD-based PW analysis. To evaluate the process window on wafer more accurately, we take advantage of design based metrology and extract experimental contours from the CD-SEM measurements. Then we implement an area metric to quantify the area coverage of the experimental contours with respect to the intended ones, using a defined “sectorization” for the logic structures. This ‘sectorization’ aims to differentiate specific areas on the logic structures being analyzed, such as corners, line-ends, short and long lines. This way, a complete evaluation of the information contained in each CD-SEM picture is performed, without having to discard any information. This solution doesn’t look at the area coverage of an entire feature, but uses a ‘sectorization’ to differentiate specific feature areas such as corners, line-ends, short and long lines, and thus look at those area coverages. An assessment of resist model/OPC quality/process quality at sub nm-level accuracy is rendered possible.
工艺窗(PW)评价是评价光刻工艺质量和局限性的关键。通常基于cd的PW只能给出部分答案。像Tachyon LMC(光刻可制造性检查)这样的模拟可以通过分析整个预测的抗蚀剂轮廓有效地克服这一限制。但到目前为止,实验测量还不允许这种灵活性。本文展示了一个创新的实验流程,它允许用户直接验证LMC结果跨PW选择一组参考模式,从而克服了传统的基于cd的PW分析的局限性。为了更准确地评估晶圆上的工艺窗口,我们利用基于设计的计量方法,从CD-SEM测量中提取实验轮廓。然后,我们实现了一个面积度量来量化实验轮廓相对于预期轮廓的面积覆盖,对逻辑结构使用定义的“分割”。这种“部门化”旨在区分正在分析的逻辑结构上的特定区域,例如角、线端、短线和长线。这样,就可以对每张CD-SEM图片中包含的信息进行完整的评估,而不必丢弃任何信息。这个解决方案并不关注整个特征的区域覆盖,而是使用“分割”来区分特定的特征区域,如角落、线端、短线和长线,从而关注这些区域覆盖。亚纳米级精度的抗蚀剂模型/OPC质量/工艺质量评估成为可能。
{"title":"Design-based metrology: beyond CD/EPE metrics to evaluate printability performance","authors":"S. Halder, J. Mailfert, P. Leray, D. Rio, Yi-Hsing Peng, B. Laenens","doi":"10.1117/12.2221894","DOIUrl":"https://doi.org/10.1117/12.2221894","url":null,"abstract":"Process-window (PW) evaluation is critical to assess the lithography process quality and limitations. Usual CD-based PW gives only a partial answer. Simulations such as Tachyon LMC (Lithography Manufacturability Check) can efficiently overcome this limitation by analyzing the entire predicted resist contours. But so far experimental measurements did not allow such flexibility. This paper shows an innovative experimental flow, which allows the user to directly validate LMC results across PW for a select group of reference patterns, thereby overcoming the limitations found in the traditional CD-based PW analysis. To evaluate the process window on wafer more accurately, we take advantage of design based metrology and extract experimental contours from the CD-SEM measurements. Then we implement an area metric to quantify the area coverage of the experimental contours with respect to the intended ones, using a defined “sectorization” for the logic structures. This ‘sectorization’ aims to differentiate specific areas on the logic structures being analyzed, such as corners, line-ends, short and long lines. This way, a complete evaluation of the information contained in each CD-SEM picture is performed, without having to discard any information. This solution doesn’t look at the area coverage of an entire feature, but uses a ‘sectorization’ to differentiate specific feature areas such as corners, line-ends, short and long lines, and thus look at those area coverages. An assessment of resist model/OPC quality/process quality at sub nm-level accuracy is rendered possible.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"30 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130793784","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Additive chemistry and distributions in NTD photoresist thin films NTD光刻胶薄膜中的添加剂化学和分布
Pub Date : 2016-03-25 DOI: 10.1117/12.2219743
J. Thackeray, C. Hong, M. Clark
The lithographic performance of photoresists is a function of the vertical distribution of formulation components, such as photoacid generator (PAG) molecules, in photoresist thin films and how these components undergo chemical modification and migrate within the film during the lithography processing steps. This paper will discuss how GCIB-SIMS depth profiles were used to monitor the PAG and quencher base distributions before and after exposure and post-exposure bake processing steps for different PAG/photoresist formulations. The authors show that the use of surface active quencher in an NTD photoresist leads to better resist profiles, superior DOF and better OPC performance.
光刻胶的光刻性能是光刻胶薄膜中配方成分(如光酸发生器(PAG)分子)垂直分布的函数,以及这些成分在光刻加工步骤中如何在薄膜内进行化学改性和迁移。本文将讨论如何使用GCIB-SIMS深度剖面来监测曝光前后PAG和淬灭剂基分布,以及不同PAG/光刻胶配方的曝光后烘烤处理步骤。结果表明,在NTD光刻胶中使用表面活性猝灭剂可以获得更好的光刻胶轮廓,更好的DOF和更好的OPC性能。
{"title":"Additive chemistry and distributions in NTD photoresist thin films","authors":"J. Thackeray, C. Hong, M. Clark","doi":"10.1117/12.2219743","DOIUrl":"https://doi.org/10.1117/12.2219743","url":null,"abstract":"The lithographic performance of photoresists is a function of the vertical distribution of formulation components, such as photoacid generator (PAG) molecules, in photoresist thin films and how these components undergo chemical modification and migrate within the film during the lithography processing steps. This paper will discuss how GCIB-SIMS depth profiles were used to monitor the PAG and quencher base distributions before and after exposure and post-exposure bake processing steps for different PAG/photoresist formulations. The authors show that the use of surface active quencher in an NTD photoresist leads to better resist profiles, superior DOF and better OPC performance.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"42 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133961286","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Characterizing and modeling electrical response to light for metal-based EUV photoresists 金属基EUV光刻胶对光的电响应特性和建模
Pub Date : 2016-03-25 DOI: 10.1117/12.2219736
A. V. Pret, M. Kocsis, D. De Simone, G. Vandenberghe, J. Stowers, A. Giglia, P. de Schepper, A. Mani, J. Biafore
Metal-based photoresists are appealing for use in EUV lithography due to their improved etch resistance and absorption compared with organic resists, and due to their resolving power demonstrated with 13.53 nm exposures using synchrotron light. Recently imec has started a new project to study novel photoresists for EUV lithography, with particular attention to metal containing materials, in order to explore alternative approaches that may offer superior characteristics in photoresist imaging and etching performance compared with more mature chemically amplified resists. In order to model these novel resists it is mandatory to understand both the optical properties and the electronic response to photon absorption. As in previous experiments on organic materials, some of the optical properties can be determined by merging analysis from high-energy electron scattering models (e.g. CXRO website), X-ray absorption spectroscopy, and DUV spectroscopic ellipsometry. Dispersion curves can be used to calculate the electronic inelastic and elastic mean-free paths; convolved with the expected spectrum at wafer level it is possible to estimate the electron yield and the secondary electron blur of the photoresist. These material properties can be used to modify the physical models currently used to simulate organic photoresist performance in computational lithography software.
由于金属基光阻剂比有机光阻剂具有更好的抗蚀刻性和吸收性,并且由于其在使用同步加速器曝光13.53 nm时显示的分辨率,因此在EUV光刻中应用具有吸引力。最近,imec开始了一项新的项目,研究用于EUV光刻的新型光刻胶,特别关注含金属材料,以探索与更成熟的化学放大光刻胶相比,光刻胶在成像和蚀刻性能方面可能具有优越特性的替代方法。为了对这些新型抗蚀剂进行建模,必须了解其光学性质和对光子吸收的电子响应。与之前对有机材料的实验一样,一些光学性质可以通过高能电子散射模型(例如CXRO网站)、x射线吸收光谱和DUV光谱椭偏仪的合并分析来确定。色散曲线可用于计算电子非弹性和弹性平均自由路径;与期望光谱进行卷积,可以估计光刻胶的电子产率和二次电子模糊。这些材料特性可用于修改目前在计算光刻软件中用于模拟有机光刻胶性能的物理模型。
{"title":"Characterizing and modeling electrical response to light for metal-based EUV photoresists","authors":"A. V. Pret, M. Kocsis, D. De Simone, G. Vandenberghe, J. Stowers, A. Giglia, P. de Schepper, A. Mani, J. Biafore","doi":"10.1117/12.2219736","DOIUrl":"https://doi.org/10.1117/12.2219736","url":null,"abstract":"Metal-based photoresists are appealing for use in EUV lithography due to their improved etch resistance and absorption compared with organic resists, and due to their resolving power demonstrated with 13.53 nm exposures using synchrotron light. Recently imec has started a new project to study novel photoresists for EUV lithography, with particular attention to metal containing materials, in order to explore alternative approaches that may offer superior characteristics in photoresist imaging and etching performance compared with more mature chemically amplified resists. In order to model these novel resists it is mandatory to understand both the optical properties and the electronic response to photon absorption. As in previous experiments on organic materials, some of the optical properties can be determined by merging analysis from high-energy electron scattering models (e.g. CXRO website), X-ray absorption spectroscopy, and DUV spectroscopic ellipsometry. Dispersion curves can be used to calculate the electronic inelastic and elastic mean-free paths; convolved with the expected spectrum at wafer level it is possible to estimate the electron yield and the secondary electron blur of the photoresist. These material properties can be used to modify the physical models currently used to simulate organic photoresist performance in computational lithography software.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"9 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124354172","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
期刊
SPIE Advanced Lithography
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1