首页 > 最新文献

Proceedings International Symposium on Quality Electronic Design最新文献

英文 中文
Structural decomposition with functional considerations for low power 低功耗结构分解与功能考虑
Pub Date : 2002-03-18 DOI: 10.1109/ISQED.2002.996789
Chih-Hung Lee, Yu-Chung Lin, Hsin-Hsiung Huang, T. Hsieh
In this paper we present an approach to minimize power consumption in the logic synthesis stage by using the gate decomposition technique. Since the power consumption of ICs is not only decided by the switching activity of each gate but also depends on the gate types in the target library, the major difference between our algorithm and the traditional methods is that we consider the power consumption of different types of gate. In addition, by the usage of inverter relocation based on Demorgan's law, we can further reduce the IC's total power consumption. Under the cases of different of input signal probabilities, switching rates are applied, and experimental results show that our approach can further reduce average power consumption by up to 12.7% as compared to the case of the applied ExDecomp/HeuDecomp algorithm (Twari et al, Proc. 30th Design Automation Conf., pp.74-79, 1993).
在本文中,我们提出了一种利用门分解技术来最小化逻辑合成阶段功耗的方法。由于ic的功耗不仅取决于每个门的开关活动,还取决于目标库中的门类型,因此我们的算法与传统方法的主要区别在于我们考虑了不同类型门的功耗。此外,利用基于Demorgan定律的逆变器重新定位,可以进一步降低集成电路的总功耗。在不同输入信号概率的情况下,应用开关率,实验结果表明,与应用ExDecomp/HeuDecomp算法相比,我们的方法可以进一步降低平均功耗高达12.7% (Twari et al . Proc. 30 Design Automation Conf., pp.74-79, 1993)。
{"title":"Structural decomposition with functional considerations for low power","authors":"Chih-Hung Lee, Yu-Chung Lin, Hsin-Hsiung Huang, T. Hsieh","doi":"10.1109/ISQED.2002.996789","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996789","url":null,"abstract":"In this paper we present an approach to minimize power consumption in the logic synthesis stage by using the gate decomposition technique. Since the power consumption of ICs is not only decided by the switching activity of each gate but also depends on the gate types in the target library, the major difference between our algorithm and the traditional methods is that we consider the power consumption of different types of gate. In addition, by the usage of inverter relocation based on Demorgan's law, we can further reduce the IC's total power consumption. Under the cases of different of input signal probabilities, switching rates are applied, and experimental results show that our approach can further reduce average power consumption by up to 12.7% as compared to the case of the applied ExDecomp/HeuDecomp algorithm (Twari et al, Proc. 30th Design Automation Conf., pp.74-79, 1993).","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77665664","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Megagate ASICs for the Thuraya satellite digital signal processor 用于Thuraya卫星数字信号处理器的Megagate asic
Pub Date : 2002-03-18 DOI: 10.1109/ISQED.2002.996791
D. Sunderland, Gary L. Duncan, Brad J. Rasmussen, Harry E. Nichols, Daniel T. Kain, Lawrence C. Lee, Brian A. Clebowicz, IV RichardW.Hollis, L. Wissel, Tad Wilder
Boeing Satellite Systems and IBM have designed and fabricated a, set of ASIC chip types to perform computation-intensive digital signal processing (DSP) functions on board geosynchronous satellites of the Thuraya mobile communications system. Preparation for this application required comprehensive review of the reliability and space-worthiness of the underlying process and packaging technology. First-pass success on all nine million-plus-gate ASIC designs required extensive model-based simulation and verification. These technologies allowed a four-fold increase in the computational power of the DSP unit over previous systems based on radiation-hardened ASICs, while simultaneously decreasing the number of ASICs required by another factor of five. The first Thuraya satellite is on-orhit, and the whole communications system is performing flawlessly.
波音卫星系统公司和IBM公司设计并制造了一套ASIC芯片类型,用于在Thuraya移动通信系统的地球同步卫星上执行计算密集型数字信号处理(DSP)功能。这项应用的准备工作需要对基础工艺和包装技术的可靠性和空间价值进行全面审查。所有900多万栅极ASIC设计的首次通过都需要广泛的基于模型的仿真和验证。这些技术使DSP单元的计算能力比以前基于抗辐射asic的系统提高了四倍,同时将所需的asic数量减少了五倍。第一颗Thuraya卫星已进入轨道,整个通信系统运行良好。
{"title":"Megagate ASICs for the Thuraya satellite digital signal processor","authors":"D. Sunderland, Gary L. Duncan, Brad J. Rasmussen, Harry E. Nichols, Daniel T. Kain, Lawrence C. Lee, Brian A. Clebowicz, IV RichardW.Hollis, L. Wissel, Tad Wilder","doi":"10.1109/ISQED.2002.996791","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996791","url":null,"abstract":"Boeing Satellite Systems and IBM have designed and fabricated a, set of ASIC chip types to perform computation-intensive digital signal processing (DSP) functions on board geosynchronous satellites of the Thuraya mobile communications system. Preparation for this application required comprehensive review of the reliability and space-worthiness of the underlying process and packaging technology. First-pass success on all nine million-plus-gate ASIC designs required extensive model-based simulation and verification. These technologies allowed a four-fold increase in the computational power of the DSP unit over previous systems based on radiation-hardened ASICs, while simultaneously decreasing the number of ASICs required by another factor of five. The first Thuraya satellite is on-orhit, and the whole communications system is performing flawlessly.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83501023","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
Reliable laser programmable gate array technology 可靠的激光可编程门阵列技术
Pub Date : 2002-03-18 DOI: 10.1109/ISQED.2002.996744
Zhuo Gao, Ji Luo, Hu Huang, Wei Zhang, J. Bernstein
Field-programmable gate arrays have become popular ever since their introduction. Compared to other digital circuit implementation media, they have lower non-recurring engineering (NRE) cost and rapid turnaround with the penalties of reduced speed and larger size. Thus better FPGA programmable switch technology is desired in order to gain speed and density advantages. In this paper, laser-induced MakeLink/spl trade/ technology is proposed as a programmable switch element. The electrical resistance is as low as 0.8 /spl Omega/ to 11 /spl Omega/, depending on the size of the link, which is 2-3 orders smaller than that of NMOS transistor in a SRAM based FPGA. Thus the speed improvement for laser field-programmable gate array (LFPGA) is significant. Other features of laser-induced vertical links technology, such as small size and radiation hardness, can also greatly improve the FPGA performance. The cluster-based LFPGA with 128 by 64 basic logic elements (BLE) is laid out under a 0.5 /spl mu/m commercialized technology. The chip size is about 138 mm/sup 2/.
现场可编程门阵列自从推出以来就变得很受欢迎。与其他数字电路实现介质相比,它们具有较低的非重复工程(NRE)成本和快速的周转,但代价是速度降低和尺寸增大。因此,为了获得速度和密度优势,需要更好的FPGA可编程开关技术。本文提出了激光诱导MakeLink/spl贸易/技术作为一种可编程开关元件。根据链路的大小,电阻低至0.8 /spl Omega/至11 /spl Omega/,比基于SRAM的FPGA中的NMOS晶体管的电阻小2-3个数量级。因此,激光现场可编程门阵列(LFPGA)的速度提升具有重要意义。激光诱导垂直链路技术的其他特点,如体积小、辐射硬度高,也可以大大提高FPGA的性能。基于集群的LFPGA具有128 × 64基本逻辑元件(BLE),采用0.5 /spl mu/m的商业化技术。芯片尺寸约为138mm /sup /。
{"title":"Reliable laser programmable gate array technology","authors":"Zhuo Gao, Ji Luo, Hu Huang, Wei Zhang, J. Bernstein","doi":"10.1109/ISQED.2002.996744","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996744","url":null,"abstract":"Field-programmable gate arrays have become popular ever since their introduction. Compared to other digital circuit implementation media, they have lower non-recurring engineering (NRE) cost and rapid turnaround with the penalties of reduced speed and larger size. Thus better FPGA programmable switch technology is desired in order to gain speed and density advantages. In this paper, laser-induced MakeLink/spl trade/ technology is proposed as a programmable switch element. The electrical resistance is as low as 0.8 /spl Omega/ to 11 /spl Omega/, depending on the size of the link, which is 2-3 orders smaller than that of NMOS transistor in a SRAM based FPGA. Thus the speed improvement for laser field-programmable gate array (LFPGA) is significant. Other features of laser-induced vertical links technology, such as small size and radiation hardness, can also greatly improve the FPGA performance. The cluster-based LFPGA with 128 by 64 basic logic elements (BLE) is laid out under a 0.5 /spl mu/m commercialized technology. The chip size is about 138 mm/sup 2/.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78717334","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Design of ESD protection device using statistical methods 用统计学方法设计ESD保护装置
Pub Date : 2002-03-18 DOI: 10.1109/ISQED.2002.996769
N. Shigyo, H. Kawashima, S. Yasuda
This paper describes an ESD protection device design to minimize its area A/sub p/ while maintaining the breakdown voltage V/sub ESD/. Hypothesis tests were performed to find the applied surge condition and to select control factors for the design-of-experiments (DOE). Also, TCAD was used to estimate V/sub ESD/. An optimum device structure, where a salicide block was employed, was found using statistical methods and TCAD.
本文设计了一种能够在保持击穿电压V/sub ESD/的情况下,使其面积A/sub p/最小化的ESD保护器件。进行了假设检验,以确定应用的喘振条件,并为实验设计(DOE)选择控制因素。此外,TCAD用于估计V/sub ESD/。使用统计方法和TCAD找到了一个最佳的装置结构,其中使用了一个杀盐块。
{"title":"Design of ESD protection device using statistical methods","authors":"N. Shigyo, H. Kawashima, S. Yasuda","doi":"10.1109/ISQED.2002.996769","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996769","url":null,"abstract":"This paper describes an ESD protection device design to minimize its area A/sub p/ while maintaining the breakdown voltage V/sub ESD/. Hypothesis tests were performed to find the applied surge condition and to select control factors for the design-of-experiments (DOE). Also, TCAD was used to estimate V/sub ESD/. An optimum device structure, where a salicide block was employed, was found using statistical methods and TCAD.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81116211","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Wireless systems-on-a-chip design 无线片上系统设计
Pub Date : 2002-03-18 DOI: 10.1109/ISQED.2002.996733
B. Brodersen
Summary form only given. There is a fundamental shift that is occurring in the implementation of wireless systems. Not only is the underlying technology shifting to mainstream CMOS technology, but the applications and specifications of the supported links are also rapidly evolving. The multiple inter-related technologies required for implementation of such wireless systems requires a co-design strategy in communication algorithms, digital architectures and the analog and digital circuits required for their implementation. Critical to good design of these chips is the definition of energy and area performance metrics that can facilitate the tradeoff of issues such as the cost of providing flexibility or the amount of parallelism to exploit. These design decisions can result in differences of orders of magnitude in the metrics between what is possible in the technology and what is often achieved if the costs are not fully understood. A design infrastructure which supports architectures and which optimizes the metrics is described for wireless systems, providing a fully automated chip design flow from a high level system specification.
只提供摘要形式。无线系统的实现正在发生根本性的转变。不仅底层技术正在向主流CMOS技术转变,而且所支持的链路的应用和规格也在迅速发展。实现这种无线系统所需的多种相互关联的技术需要在通信算法、数字架构以及实现所需的模拟和数字电路中采用协同设计策略。这些芯片良好设计的关键是能量和面积性能指标的定义,这些指标可以促进诸如提供灵活性的成本或可开发的并行性数量等问题的权衡。如果不完全了解成本,这些设计决策可能会导致技术可能实现的指标与通常实现的指标之间的数量级差异。描述了支持架构和优化无线系统指标的设计基础设施,从高级系统规范提供了全自动芯片设计流程。
{"title":"Wireless systems-on-a-chip design","authors":"B. Brodersen","doi":"10.1109/ISQED.2002.996733","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996733","url":null,"abstract":"Summary form only given. There is a fundamental shift that is occurring in the implementation of wireless systems. Not only is the underlying technology shifting to mainstream CMOS technology, but the applications and specifications of the supported links are also rapidly evolving. The multiple inter-related technologies required for implementation of such wireless systems requires a co-design strategy in communication algorithms, digital architectures and the analog and digital circuits required for their implementation. Critical to good design of these chips is the definition of energy and area performance metrics that can facilitate the tradeoff of issues such as the cost of providing flexibility or the amount of parallelism to exploit. These design decisions can result in differences of orders of magnitude in the metrics between what is possible in the technology and what is often achieved if the costs are not fully understood. A design infrastructure which supports architectures and which optimizes the metrics is described for wireless systems, providing a fully automated chip design flow from a high level system specification.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83684369","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
The role of ICs in the creation of a connected world and the importance of product quality 集成电路在创造互联世界中的作用以及产品质量的重要性
Pub Date : 2002-03-18 DOI: 10.1109/ISQED.2002.996732
A. Raza
Human beings being social have had a need to communicate. The modern chapter in enabling large scale communication has been aided by intelligence in the transport, distribution, protection, traffic management, decoding, analyzing and displaying of communication content. The intelligence has been embedded in an explosive confluence of software, systems and integrated circuits. This has resulted in the most amazing transformation of the way we live our lives, work, and engage in all other necessary and capricious activity. It has also created a huge economic footprint on the Gross Domestic Product of the United States of America. With a massive transformation that has occurred in such a short time, this throbbing network across the planet has to operate reliably because of the precious payload it carries.
人类是社会性的,有交流的需要。在通信内容的传输、分发、保护、流量管理、解码、分析和显示方面,智能帮助实现了大规模通信的现代篇章。智能已经嵌入到软件、系统和集成电路的爆炸性融合中。这导致了我们生活、工作和从事所有其他必要和反复无常的活动的方式发生了最惊人的变化。它还在美利坚合众国的国内生产总值上留下了巨大的经济足迹。在如此短的时间内发生了巨大的变化,这个遍布地球的脉动网络必须可靠地运行,因为它携带了宝贵的有效载荷。
{"title":"The role of ICs in the creation of a connected world and the importance of product quality","authors":"A. Raza","doi":"10.1109/ISQED.2002.996732","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996732","url":null,"abstract":"Human beings being social have had a need to communicate. The modern chapter in enabling large scale communication has been aided by intelligence in the transport, distribution, protection, traffic management, decoding, analyzing and displaying of communication content. The intelligence has been embedded in an explosive confluence of software, systems and integrated circuits. This has resulted in the most amazing transformation of the way we live our lives, work, and engage in all other necessary and capricious activity. It has also created a huge economic footprint on the Gross Domestic Product of the United States of America. With a massive transformation that has occurred in such a short time, this throbbing network across the planet has to operate reliably because of the precious payload it carries.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88543991","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Accurate model of metal-insulator-semiconductor interconnects 金属-绝缘体-半导体互连的精确模型
Pub Date : 2002-03-18 DOI: 10.1109/ISQED.2002.996694
Gaofeng Wang, X. Qi, Zhiping Yu, R. Dutton
An accurate nonlinear circuit model for metal-insulator-semiconductor (MIS) interconnects is presented based on a device level simulation. The device level simulation gives detailed information regarding field-carrier interactions, semiconductor substrate loss and nonlinearity, as well as slow-wave effect, external bias effect and screening effect of the charged carriers. This model consists of an equivalent transmission line that mimics the energy transport characteristics of the actual MIS interconnect, and provides a generalized nonlinear and electronic tunable circuit model suitable for both small-signal and large-signal analyses.
在器件级仿真的基础上,建立了金属-绝缘体-半导体(MIS)互连的精确非线性电路模型。器件级仿真给出了场-载流子相互作用、半导体衬底损耗和非线性以及载流子的慢波效应、外偏置效应和屏蔽效应的详细信息。该模型由模拟实际MIS互连的能量传输特性的等效传输线组成,并提供适用于小信号和大信号分析的广义非线性和电子可调谐电路模型。
{"title":"Accurate model of metal-insulator-semiconductor interconnects","authors":"Gaofeng Wang, X. Qi, Zhiping Yu, R. Dutton","doi":"10.1109/ISQED.2002.996694","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996694","url":null,"abstract":"An accurate nonlinear circuit model for metal-insulator-semiconductor (MIS) interconnects is presented based on a device level simulation. The device level simulation gives detailed information regarding field-carrier interactions, semiconductor substrate loss and nonlinearity, as well as slow-wave effect, external bias effect and screening effect of the charged carriers. This model consists of an equivalent transmission line that mimics the energy transport characteristics of the actual MIS interconnect, and provides a generalized nonlinear and electronic tunable circuit model suitable for both small-signal and large-signal analyses.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76705139","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Extending the viability of I/sub DDQ/ testing in the deep submicron era 扩展深亚微米时代I/sub DDQ/测试的可行性
Pub Date : 2002-03-18 DOI: 10.1109/ISQED.2002.996706
Y. Tsiatouhas, T. Haniotakis, D. Nikolos, A. Arapoyanni
I/sub DDQ/ testing has become a widely accepted defect detection technique in CMOS ICs. However, its effectiveness in deep submicron is threatened by the increased transistor sub-threshold leakage current. In this paper, a new I/sub DDQ/ testing scheme is proposed. This scheme is based on the elimination, during I/sub DDQ/ testing, of the normal leakage current from the sensing node of the circuit under test so that already known in the open literature I/sub DDQ/ sensing techniques can be applied in deep submicron.
I/sub DDQ/测试已成为CMOS集成电路中被广泛接受的缺陷检测技术。然而,其在深亚微米的有效性受到晶体管亚阈值泄漏电流增大的威胁。本文提出了一种新的I/sub DDQ/测试方案。该方案基于在I/sub DDQ/测试过程中消除被测电路传感节点的正常泄漏电流,从而使公开文献中已知的I/sub DDQ/传感技术可以应用于深亚微米。
{"title":"Extending the viability of I/sub DDQ/ testing in the deep submicron era","authors":"Y. Tsiatouhas, T. Haniotakis, D. Nikolos, A. Arapoyanni","doi":"10.1109/ISQED.2002.996706","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996706","url":null,"abstract":"I/sub DDQ/ testing has become a widely accepted defect detection technique in CMOS ICs. However, its effectiveness in deep submicron is threatened by the increased transistor sub-threshold leakage current. In this paper, a new I/sub DDQ/ testing scheme is proposed. This scheme is based on the elimination, during I/sub DDQ/ testing, of the normal leakage current from the sensing node of the circuit under test so that already known in the open literature I/sub DDQ/ sensing techniques can be applied in deep submicron.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76822262","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Characterizing the current degradation of abnormally structured MOS transistors using a 3D Poisson solver 利用三维泊松求解器表征结构异常的MOS晶体管的电流退化
Pub Date : 2002-03-18 DOI: 10.1109/ISQED.2002.996765
Jin-Kyu Park, Keun-Ho Lee, C. Lee, Gi-Young Yang, Young-Kwan Park, J. Kong
An efficient modeling methodology for abnormally structured MOS transistors is presented. Contrary to the previous method utilizing a 3D device simulator, only the 3D Poisson solver is used to characterize the current degradation effects by extracting the parasitic source and drain resistances, and the effective transistor width of the abnormal transistors. For the frequent modifications of the layout design, the easiness of the proposed method guarantees the efficient reflection of the current degradation effect in circuit simulation. This method is applied to 0.17 /spl mu/m DRAM process and the good agreements with the measured data are examined.
提出了一种结构异常MOS晶体管的有效建模方法。与以往利用三维器件模拟器的方法不同,本文仅使用三维泊松求解器通过提取寄生源和漏极电阻以及异常晶体管的有效晶体管宽度来表征电流退化效应。对于布局设计的频繁修改,该方法的简单性保证了电路仿真中电流退化效应的有效反映。将该方法应用于0.17 /spl mu/m DRAM工艺,与实测数据吻合良好。
{"title":"Characterizing the current degradation of abnormally structured MOS transistors using a 3D Poisson solver","authors":"Jin-Kyu Park, Keun-Ho Lee, C. Lee, Gi-Young Yang, Young-Kwan Park, J. Kong","doi":"10.1109/ISQED.2002.996765","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996765","url":null,"abstract":"An efficient modeling methodology for abnormally structured MOS transistors is presented. Contrary to the previous method utilizing a 3D device simulator, only the 3D Poisson solver is used to characterize the current degradation effects by extracting the parasitic source and drain resistances, and the effective transistor width of the abnormal transistors. For the frequent modifications of the layout design, the easiness of the proposed method guarantees the efficient reflection of the current degradation effect in circuit simulation. This method is applied to 0.17 /spl mu/m DRAM process and the good agreements with the measured data are examined.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"91541241","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Power supply noise suppression via clock skew scheduling 通过时钟倾斜调度抑制电源噪声
Pub Date : 2002-03-18 DOI: 10.1109/ISQED.2002.996772
Wai-Ching Douglas Lam, Cheng-Kok Koh, C. Tsao
Simultaneous switching events in the clock lines and the signals passing through sequential and combinational logic elements cause large L/spl middot/di/dt and IR voltage variations in the power and ground network. This is known as power supply noise and it affects the performance and reliability of the entire circuit. In this paper, we propose an algorithm that performs clock skew scheduling to minimize the number of simultaneous switching events such that the power supply noise is suppressed. Our approach establishes a direct relationship between current (drawn by a circuit element, sequential or combinational) and skew by the concept of envelope waveforms, using a graphical representation. We provide a graph-based scheduling approach to reduce the peak current and to minimize the difference between the current peaks and valleys such that the current profile of the entire circuit is smoothened. Our approach also guarantees that the resulting clock schedule does not violate setup and hold time constraints. Experimental results on benchmark circuits show an average reduction of 19.6% in the peak current, an average reduction of 38.7% in the current swing, and an average reduction of 47.4% in voltage variations in the power lines.
时钟线中的同步开关事件和通过顺序和组合逻辑元件的信号在电源和地网络中引起大的L/spl中点/di/dt和IR电压变化。这就是所谓的电源噪声,它会影响整个电路的性能和可靠性。在本文中,我们提出了一种执行时钟倾斜调度的算法,以尽量减少同时开关事件的数量,从而抑制电源噪声。我们的方法建立了电流(由电路元件绘制,顺序或组合)和斜度之间的直接关系,通过包络波形的概念,使用图形表示。我们提供了一种基于图的调度方法来降低峰值电流,并最小化电流峰谷之间的差异,从而使整个电路的电流轮廓平滑。我们的方法还保证产生的时钟计划不会违反设置和保持时间限制。在基准电路上的实验结果表明,峰值电流平均降低19.6%,电流摆幅平均降低38.7%,电力线电压变化平均降低47.4%。
{"title":"Power supply noise suppression via clock skew scheduling","authors":"Wai-Ching Douglas Lam, Cheng-Kok Koh, C. Tsao","doi":"10.1109/ISQED.2002.996772","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996772","url":null,"abstract":"Simultaneous switching events in the clock lines and the signals passing through sequential and combinational logic elements cause large L/spl middot/di/dt and IR voltage variations in the power and ground network. This is known as power supply noise and it affects the performance and reliability of the entire circuit. In this paper, we propose an algorithm that performs clock skew scheduling to minimize the number of simultaneous switching events such that the power supply noise is suppressed. Our approach establishes a direct relationship between current (drawn by a circuit element, sequential or combinational) and skew by the concept of envelope waveforms, using a graphical representation. We provide a graph-based scheduling approach to reduce the peak current and to minimize the difference between the current peaks and valleys such that the current profile of the entire circuit is smoothened. Our approach also guarantees that the resulting clock schedule does not violate setup and hold time constraints. Experimental results on benchmark circuits show an average reduction of 19.6% in the peak current, an average reduction of 38.7% in the current swing, and an average reduction of 47.4% in voltage variations in the power lines.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81665930","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 49
期刊
Proceedings International Symposium on Quality Electronic Design
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1