首页 > 最新文献

Advanced Lithography最新文献

英文 中文
Advancing high resolution photolithography with hybrid polymers for wafer-scale manufacture of micro-optics and patterned passivation layers 利用杂化聚合物推进高分辨率光刻技术,用于微光学和图案钝化层的晶圆级制造
Pub Date : 2023-05-01 DOI: 10.1117/12.2658408
M. Koch, M. Russew, Ludwig Scharfenberg, A. Benker, A. Schleunitz, G. Grützner
Hybrid Polymers are a material class established in the industry for manufacturing of high-performance optical components, mainly patterned by (nano)imprint processes. Recently, the application range of Hybrid Polymers has been extended into bonding and passivation. In this context, patterning by classical UV-lithography has come into focus as an alternative patterning method to (nano)imprinting. By applying a two-stage curing process with a high intensity, low dose patterning step and a high dose flood exposure after development, it is possible to realize previously unattainable resolutions limits for Hybrid Polymers of 6μm L/S and aspect ratios of more than 3.
杂化聚合物是一种用于制造高性能光学元件的材料,主要由(纳米)压印工艺制成。近年来,杂化聚合物的应用范围已扩展到粘接和钝化。在这种情况下,经典紫外光刻的图案化已经成为焦点,作为(纳米)压印的替代图案化方法。通过采用高强度、低剂量图像化步骤和显影后高剂量洪水暴露的两阶段固化工艺,可以实现以前无法实现的6μm L/S和大于3长宽比的杂化聚合物的分辨率限制。
{"title":"Advancing high resolution photolithography with hybrid polymers for wafer-scale manufacture of micro-optics and patterned passivation layers","authors":"M. Koch, M. Russew, Ludwig Scharfenberg, A. Benker, A. Schleunitz, G. Grützner","doi":"10.1117/12.2658408","DOIUrl":"https://doi.org/10.1117/12.2658408","url":null,"abstract":"Hybrid Polymers are a material class established in the industry for manufacturing of high-performance optical components, mainly patterned by (nano)imprint processes. Recently, the application range of Hybrid Polymers has been extended into bonding and passivation. In this context, patterning by classical UV-lithography has come into focus as an alternative patterning method to (nano)imprinting. By applying a two-stage curing process with a high intensity, low dose patterning step and a high dose flood exposure after development, it is possible to realize previously unattainable resolutions limits for Hybrid Polymers of 6μm L/S and aspect ratios of more than 3.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129604635","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Chemical information extraction from scanning electron microscopy images on the basis of image recognition 基于图像识别的扫描电镜图像化学信息提取
Pub Date : 2023-05-01 DOI: 10.1117/12.2666992
Yuqing Jin, T. Kozawa, Kota Aoki, Tomoya Nakamura, Yasushi Makihara, Yasushi Yagi
Traditional resist materials have faced challenges as the extreme ultraviolet (EUV) light source with a wavelength of 13.5 nm brought the evolution of lithography to the semiconductor industry. A significant issue in the development of resist materials or the discovery of new type resists is that numerous parameters involved in the resist pattern printing process cause the generation of defects. Meanwhile, the inherent chemical variation in resist materials and processes causes the stochastic defects. In addition, the stochastic defects caused by the inherent chemical variation in resist materials and processes become increasingly significant as feature scales continue to shrink. Consequently, the number of pattern data with failures is much greater than those without defects. However, by utilizing the information contained in pattern failures, chemical parameters can be adjusted to improve resist resolution. In this study, a new method is proposed for evaluating resist patterns with defects by fitting the experimental scanning electronic microscopy (SEM) images of line-and-space patterns with defects to simulated images.
随着波长为13.5 nm的极紫外(EUV)光源给半导体行业带来光刻技术的发展,传统的抗蚀剂材料面临挑战。在开发抗蚀剂材料或发现新型抗蚀剂的过程中,一个重要的问题是抗蚀剂图案印刷过程中涉及的众多参数会导致缺陷的产生。同时,抗蚀剂材料和工艺中固有的化学变化导致了随机缺陷。此外,随着特征尺度的不断缩小,抗蚀剂材料和工艺中固有的化学变化所导致的随机缺陷也越来越显著。因此,有缺陷的模式数据的数量远远大于没有缺陷的模式数据。然而,通过利用图案失效中包含的信息,可以调整化学参数以提高抗蚀剂分辨率。本研究提出了一种新的方法,通过拟合带有缺陷的线空图案的实验扫描电子显微镜(SEM)图像与模拟图像,来评估带有缺陷的抗蚀剂图案。
{"title":"Chemical information extraction from scanning electron microscopy images on the basis of image recognition","authors":"Yuqing Jin, T. Kozawa, Kota Aoki, Tomoya Nakamura, Yasushi Makihara, Yasushi Yagi","doi":"10.1117/12.2666992","DOIUrl":"https://doi.org/10.1117/12.2666992","url":null,"abstract":"Traditional resist materials have faced challenges as the extreme ultraviolet (EUV) light source with a wavelength of 13.5 nm brought the evolution of lithography to the semiconductor industry. A significant issue in the development of resist materials or the discovery of new type resists is that numerous parameters involved in the resist pattern printing process cause the generation of defects. Meanwhile, the inherent chemical variation in resist materials and processes causes the stochastic defects. In addition, the stochastic defects caused by the inherent chemical variation in resist materials and processes become increasingly significant as feature scales continue to shrink. Consequently, the number of pattern data with failures is much greater than those without defects. However, by utilizing the information contained in pattern failures, chemical parameters can be adjusted to improve resist resolution. In this study, a new method is proposed for evaluating resist patterns with defects by fitting the experimental scanning electronic microscopy (SEM) images of line-and-space patterns with defects to simulated images.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129496632","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
High-productivity direct-write e-beam lithography: an enabling patterning technology to augment your lithography toolbox 高生产率直写电子束光刻:一种使能的图形技术,以增加您的光刻工具箱
Pub Date : 2023-05-01 DOI: 10.1117/12.2658237
K. Macwilliams, A. Ceballos, Ted Prescop, D. K. Lam
Multibeam Corporation has overcome the productivity limitations of conventional single-electron-beam lithography to enable high-speed, flexible, and high-resolution patterning in a range of new and existing applications. The high-productivity Multicolumn Electron Beam Lithography (MEBL) system combines a modular architecture with unique miniature e-beam columns to achieve productivity that is taking e-beam lithography from lab to fab. MEBL exhibits 10 to 100x productivity in most applications compared to conventional e-beam lithography. Beyond its superior resolution, MEBL also offers several important advantages over conventional photolithography: (1) Depth of Focus – over 100x larger than state-of-the-art optical systems. (2) Full-wafer Writing Field – the ability to write unique, seamless patterns over an entire wafer, not limited by conventional optical reticle size (typically 26 mm x 33 mm). (3) Perhaps most powerfully – direct writing is maskless. E-beam lithography is adaptable and is not constrained by the time, cost, defectivity, and security risks of the optical mask production process. The design and manufacturing capabilities that emerge from combining high-productivity with these unique e-beam lithography capabilities enable many key applications: super-large interposers for microelectronics advanced packaging (that can achieve size, weight, power, and performance close to that of wafer-scale integration); seamless patterning of readout integrated circuits (ROICs) and other larger-area devices; built-in Secure Chip IDs that ensure security and chip-level traceability; and high-mix, low-volume production (to leverage high-volume IC technology for low-volume applications). The adaptable, direct-write nature of e-beam lithography also enables early concept prototyping that accelerates technology development, production ramp-up, and system deployments. These advanced capabilities are shown using Multibeam’s high-productivity, direct-write MEBL system.
Multibeam公司克服了传统单电子束光刻的生产效率限制,在一系列新的和现有的应用中实现了高速、灵活和高分辨率的图形。高生产率的多柱电子束光刻(MEBL)系统结合了模块化架构和独特的微型电子束柱,以实现从实验室到工厂的电子束光刻的生产率。与传统电子束光刻相比,MEBL在大多数应用中表现出10到100倍的生产率。除了其优越的分辨率,MEBL还提供了几个重要的优势比传统的光刻:(1)聚焦深度-超过100倍的最先进的光学系统。(2)全晶圆书写领域-在整个晶圆上书写独特,无缝图案的能力,不受传统光学光栅尺寸(通常为26 mm x 33 mm)的限制。(3)也许最有力的是——直接写作是没有面具的。电子束光刻技术适应性强,不受光掩模生产过程的时间、成本、缺陷和安全风险的限制。将高生产率与这些独特的电子束光刻能力相结合所产生的设计和制造能力使许多关键应用成为可能:用于微电子先进封装的超大中间体(可以实现尺寸,重量,功率和性能接近晶圆级集成);读出集成电路(roic)和其他更大面积器件的无缝图像化;内置安全芯片id,确保安全性和芯片级可追溯性;以及高混合、小批量生产(利用大批量集成电路技术实现小批量应用)。电子束光刻具有适应性强、直接写入的特点,能够实现早期概念原型,从而加速技术开发、生产提升和系统部署。这些先进的功能通过Multibeam的高生产率、直写MEBL系统得到了展示。
{"title":"High-productivity direct-write e-beam lithography: an enabling patterning technology to augment your lithography toolbox","authors":"K. Macwilliams, A. Ceballos, Ted Prescop, D. K. Lam","doi":"10.1117/12.2658237","DOIUrl":"https://doi.org/10.1117/12.2658237","url":null,"abstract":"Multibeam Corporation has overcome the productivity limitations of conventional single-electron-beam lithography to enable high-speed, flexible, and high-resolution patterning in a range of new and existing applications. The high-productivity Multicolumn Electron Beam Lithography (MEBL) system combines a modular architecture with unique miniature e-beam columns to achieve productivity that is taking e-beam lithography from lab to fab. MEBL exhibits 10 to 100x productivity in most applications compared to conventional e-beam lithography. Beyond its superior resolution, MEBL also offers several important advantages over conventional photolithography: (1) Depth of Focus – over 100x larger than state-of-the-art optical systems. (2) Full-wafer Writing Field – the ability to write unique, seamless patterns over an entire wafer, not limited by conventional optical reticle size (typically 26 mm x 33 mm). (3) Perhaps most powerfully – direct writing is maskless. E-beam lithography is adaptable and is not constrained by the time, cost, defectivity, and security risks of the optical mask production process. The design and manufacturing capabilities that emerge from combining high-productivity with these unique e-beam lithography capabilities enable many key applications: super-large interposers for microelectronics advanced packaging (that can achieve size, weight, power, and performance close to that of wafer-scale integration); seamless patterning of readout integrated circuits (ROICs) and other larger-area devices; built-in Secure Chip IDs that ensure security and chip-level traceability; and high-mix, low-volume production (to leverage high-volume IC technology for low-volume applications). The adaptable, direct-write nature of e-beam lithography also enables early concept prototyping that accelerates technology development, production ramp-up, and system deployments. These advanced capabilities are shown using Multibeam’s high-productivity, direct-write MEBL system.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126814055","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Profile control in conductor metal wet etch with advanced photoresists 先进光刻胶在导体金属湿式蚀刻中的轮廓控制
Pub Date : 2023-05-01 DOI: 10.1117/12.2657687
Ashley Moore, Julia Modl, Zhong Li, Hung-Yang Chen, Chunwei Chen, A. Behrendt, Katharina Schmoelzer
Despite their long history in the electronics industry, copper metal layers remain important components as interconnection layers in IC fabrication due to their higher thermal and electrical conductivity as well as their higher electromigration resistance. Structuring the copper metal layer via wet chemical etching places demands on the photoresist mask, requiring resistance to harsh etch chemistry and good adhesion to the substrate to prevent delamination and defects. The photoresist formulation AZ® TD-2010 is a positive-tone, DNQ-based i-line photoresist that incorporates an additional surface-grafting component to deliver improved etch performance via enhanced photoresist adhesion on metal substrates. The in-situ priming of the photoresist formulation during the patterning process leads to a greater interfacial adhesion, resulting in steep sidewalls, with a greater than 20° increase in etch angle over formulations without adhesion promoter, while maintaining undercut depth and Cu CD. The AZ®TD-2010 photoresist can also be used at high thickness to cover topography steps formed from underlying layers, while also exhibiting high enough photospeed to maintain production throughput standards for IC manufacturing.
尽管铜金属层在电子工业中有着悠久的历史,但由于其更高的导热性和导电性以及更高的电迁移电阻,它仍然是集成电路制造中作为互连层的重要组成部分。通过湿式化学蚀刻构造铜金属层对光刻胶掩膜提出了要求,要求耐苛刻的蚀刻化学反应,并与衬底具有良好的附着力,以防止分层和缺陷。光刻胶配方AZ®TD-2010是一种正色调,基于dnq的i线光刻胶,包含额外的表面接枝组件,通过增强光刻胶在金属基板上的附着力来改善蚀刻性能。在图画化过程中,光阻剂配方的现场注入导致更大的界面附着力,导致陡峭的边壁,与没有附着力促进剂的配方相比,蚀刻角度增加了20°以上,同时保持了凹痕深度和Cu CD。AZ®TD-2010光阻剂也可以在高厚度下使用,以覆盖由底层形成的地形台阶。同时也表现出足够高的光电速度,以保持集成电路制造的生产吞吐量标准。
{"title":"Profile control in conductor metal wet etch with advanced photoresists","authors":"Ashley Moore, Julia Modl, Zhong Li, Hung-Yang Chen, Chunwei Chen, A. Behrendt, Katharina Schmoelzer","doi":"10.1117/12.2657687","DOIUrl":"https://doi.org/10.1117/12.2657687","url":null,"abstract":"Despite their long history in the electronics industry, copper metal layers remain important components as interconnection layers in IC fabrication due to their higher thermal and electrical conductivity as well as their higher electromigration resistance. Structuring the copper metal layer via wet chemical etching places demands on the photoresist mask, requiring resistance to harsh etch chemistry and good adhesion to the substrate to prevent delamination and defects. The photoresist formulation AZ® TD-2010 is a positive-tone, DNQ-based i-line photoresist that incorporates an additional surface-grafting component to deliver improved etch performance via enhanced photoresist adhesion on metal substrates. The in-situ priming of the photoresist formulation during the patterning process leads to a greater interfacial adhesion, resulting in steep sidewalls, with a greater than 20° increase in etch angle over formulations without adhesion promoter, while maintaining undercut depth and Cu CD. The AZ®TD-2010 photoresist can also be used at high thickness to cover topography steps formed from underlying layers, while also exhibiting high enough photospeed to maintain production throughput standards for IC manufacturing.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115073558","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Enabling process technologies for advanced logic devices beyond FinFET era 为超越FinFET时代的先进逻辑器件提供工艺技术
Pub Date : 2023-05-01 DOI: 10.1117/12.2660290
Tomonari Yamamoto
This invited talk describes the enabling process technologies for advanced logic devices beyond FinFET era. Gate-all-around (GAA) improves electrostatics over FinFET and enables continuous gate length scaling. Complementary FET (CFET), which is a structure of stacked transistors, is a next candidate architecture for the continuous cell height scaling enablement. Interconnect pitch scaling will also play crucial role for it and go with RC reduction knobs such as Cu damascene extension, post Cu and airgap. For better area usage and performance enhancement, backside power delivery network (PDN) is an attractive option. For these enablement, continuous process and tool advancement is necessary not only on film, etch, lithography and wet, but also on wafer bonding and thinning technologies. We will also review our recent progress in EUV related solutions including self-aligned patterning.
本次特邀演讲介绍了超越FinFET时代的先进逻辑器件的使能工艺技术。栅极全能(GAA)改善了FinFET的静电性能,并实现了连续栅极长度缩放。互补场效应管(互补场效应管)是一种堆叠晶体管结构,是实现连续单元高度缩放的下一个候选结构。互连间距缩放也将发挥至关重要的作用,并与RC减少旋钮,如Cu damascene扩展,后Cu和气隙。为了更好的区域使用和性能增强,后端电力输送网络(PDN)是一个有吸引力的选择。为了实现这些目标,不仅在薄膜、蚀刻、光刻和湿法上,而且在晶圆键合和减薄技术上,都需要持续的工艺和工具进步。我们还将回顾我们在EUV相关解决方案方面的最新进展,包括自对准模式。
{"title":"Enabling process technologies for advanced logic devices beyond FinFET era","authors":"Tomonari Yamamoto","doi":"10.1117/12.2660290","DOIUrl":"https://doi.org/10.1117/12.2660290","url":null,"abstract":"This invited talk describes the enabling process technologies for advanced logic devices beyond FinFET era. Gate-all-around (GAA) improves electrostatics over FinFET and enables continuous gate length scaling. Complementary FET (CFET), which is a structure of stacked transistors, is a next candidate architecture for the continuous cell height scaling enablement. Interconnect pitch scaling will also play crucial role for it and go with RC reduction knobs such as Cu damascene extension, post Cu and airgap. For better area usage and performance enhancement, backside power delivery network (PDN) is an attractive option. For these enablement, continuous process and tool advancement is necessary not only on film, etch, lithography and wet, but also on wafer bonding and thinning technologies. We will also review our recent progress in EUV related solutions including self-aligned patterning.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128707276","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Realization of high-Q Lamb wave resonator with smooth vertical etching profile for thin film lithium niobate 铌酸锂薄膜光滑垂直刻蚀轮廓的高q Lamb波谐振器的实现
Pub Date : 2023-05-01 DOI: 10.1117/12.2665860
A. Aryal, Ravi Kiran Chityala, I. Stricklin, Sidhant Tiwari, A. Siddiqui, T. Busani
In this work, Lamb Wave Resonators (LWRs) based on 2 μm thin Y-cut LiNbO3 films have been fabricated using integrated fabrication process that defines IDTs (Inter Digital Transducers) on top surface and a partial Si cavity for a sacrificial layer on the bottom surface. We discuss the etch quality and its effects on the device's performance. For the first time, we present an optimized high-quality etched MEMS (Micro-electromechanical Systems) Resonator with smooth and vertical sidewalls on this material system, reporting the maximum Q-factor of 2500 at 846 MHz frequency. We observed that the resonator system has a Q-factor of 480 over the same frequency range when the etched surface has significant roughness and non-verticality. Q values of the device are greatly diminished by the presence of surface roughness and non-verticality of the etched edges. This truly highlights how important it is to have a high-quality etch profile for a piezoelectric material system like this so that the designed resonators can perform at their best.
在这项工作中,基于2 μm薄y型切割LiNbO3薄膜的Lamb波谐振器(LWRs)已经使用集成制造工艺制造,该工艺在表面上定义了IDTs(数字间换能器),并在底部表面定义了用于牺牲层的部分Si腔。讨论了蚀刻质量及其对器件性能的影响。我们首次在这种材料系统上提出了一种优化的高质量蚀刻MEMS(微机电系统)谐振器,具有光滑和垂直的侧壁,在846 MHz频率下的最大q因子为2500。我们观察到,当蚀刻表面具有显著的粗糙度和非垂直性时,谐振器系统在相同频率范围内的q因子为480。由于存在表面粗糙度和蚀刻边缘的非垂直性,器件的Q值大大降低。这确实突出了为这样的压电材料系统提供高质量的蚀刻轮廓是多么重要,这样设计的谐振器才能发挥其最佳性能。
{"title":"Realization of high-Q Lamb wave resonator with smooth vertical etching profile for thin film lithium niobate","authors":"A. Aryal, Ravi Kiran Chityala, I. Stricklin, Sidhant Tiwari, A. Siddiqui, T. Busani","doi":"10.1117/12.2665860","DOIUrl":"https://doi.org/10.1117/12.2665860","url":null,"abstract":"In this work, Lamb Wave Resonators (LWRs) based on 2 μm thin Y-cut LiNbO3 films have been fabricated using integrated fabrication process that defines IDTs (Inter Digital Transducers) on top surface and a partial Si cavity for a sacrificial layer on the bottom surface. We discuss the etch quality and its effects on the device's performance. For the first time, we present an optimized high-quality etched MEMS (Micro-electromechanical Systems) Resonator with smooth and vertical sidewalls on this material system, reporting the maximum Q-factor of 2500 at 846 MHz frequency. We observed that the resonator system has a Q-factor of 480 over the same frequency range when the etched surface has significant roughness and non-verticality. Q values of the device are greatly diminished by the presence of surface roughness and non-verticality of the etched edges. This truly highlights how important it is to have a high-quality etch profile for a piezoelectric material system like this so that the designed resonators can perform at their best.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129599700","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A novel formulated developer for negative-tone imaging with EUV exposure to improve chemical stochastic 一种新型配方显影剂,用于EUV曝光的负色调成像,以改善化学随机性
Pub Date : 2023-05-01 DOI: 10.1117/12.2657421
Keiyu Ou, Naohiro Tango, Nishiki Fujimaki, Kazuhiro Marumo, Nobuhiro Hiura, Satomi Takahashi, Toru Fujimori
In 2019, finally, extreme ultraviolet (EUV) lithography has been applied to high volume manufacturing (HVM). However, the performance of EUV resist materials are still not enough for the expected HVM requirements, even by using the latest qualifying EUV resist materials. The critical issues are the stochastic issues, which will become ‘defectivity’. The analyzing summary of the stochastic factors in EUV lithography was reported, which described 2 (two) major stochastic issues, which are ‘Photon stochastic’ and ‘Chemical stochastic’. In the past, speaking of the stochastic issue was basically considered from low photon number from EUV light source, which means ‘photon shot noise’. It was still critical concerning point, even with recent progress on source power improvement. However, the stochastic issue is not only from them but also from EUV materials and processes, called ‘Chemical stochastic’. The ‘Chemical stochastic’ means caused from resist materials and processes for lithography, materials uniformity in the film, reactive uniformity in the film, and dissolving behavior with the developer. In this paper, we will focus on ‘Chemical stochastic’ improvement, especially, the dissolving behavior by using negative-tone imaging (NTI, using organic solvent-based developer) with EUV exposure (EUV-NTI). EUV-NTI had a potential for improving ‘Chemical stochastic’ because of their properties, which were low swelling and smooth dissolving behavior. However, the pattern collapse was easily observed for preparing fine patterns with the standard developer. Newly proposed novel formulated organic solvent-based developer will be expected to improve the patter collapse issue and ‘Chemical stochastic’. Lithographic performance will also be reported.
2019年,极紫外(EUV)光刻技术终于应用于大批量制造(HVM)。然而,即使使用最新的合格EUV抗材料,EUV抗材料的性能仍然不足以满足预期的HVM要求。关键问题是随机问题,这将成为“缺陷”。摘要对极紫外光刻中的随机因素进行了分析总结,描述了“光子随机”和“化学随机”两大随机问题。在过去,谈论随机问题基本上是从极紫外光源的低光子数出发,即“光子散粒噪声”。尽管最近在电源改进方面取得了进展,但这仍然是一个关键的问题。然而,随机问题不仅来自它们,还来自EUV材料和工艺,称为“化学随机”。“化学随机”是指光刻的抗蚀剂材料和工艺、胶片中的材料均匀性、胶片中的反应均匀性以及与显影剂的溶解行为造成的。在本文中,我们将重点关注“化学随机”改进,特别是通过使用EUV曝光(EUV-NTI)的负色调成像(NTI,使用有机溶剂基显影剂)的溶解行为。EUV-NTI具有低溶胀和平滑溶解的特性,具有改善“化学随机”的潜力。然而,在使用标准开发人员准备精细模式时,很容易观察到模式崩溃。新提出的新配方有机溶剂型显影剂有望改善模式崩溃问题和“化学随机”。光刻性能也将报告。
{"title":"A novel formulated developer for negative-tone imaging with EUV exposure to improve chemical stochastic","authors":"Keiyu Ou, Naohiro Tango, Nishiki Fujimaki, Kazuhiro Marumo, Nobuhiro Hiura, Satomi Takahashi, Toru Fujimori","doi":"10.1117/12.2657421","DOIUrl":"https://doi.org/10.1117/12.2657421","url":null,"abstract":"In 2019, finally, extreme ultraviolet (EUV) lithography has been applied to high volume manufacturing (HVM). However, the performance of EUV resist materials are still not enough for the expected HVM requirements, even by using the latest qualifying EUV resist materials. The critical issues are the stochastic issues, which will become ‘defectivity’. The analyzing summary of the stochastic factors in EUV lithography was reported, which described 2 (two) major stochastic issues, which are ‘Photon stochastic’ and ‘Chemical stochastic’. In the past, speaking of the stochastic issue was basically considered from low photon number from EUV light source, which means ‘photon shot noise’. It was still critical concerning point, even with recent progress on source power improvement. However, the stochastic issue is not only from them but also from EUV materials and processes, called ‘Chemical stochastic’. The ‘Chemical stochastic’ means caused from resist materials and processes for lithography, materials uniformity in the film, reactive uniformity in the film, and dissolving behavior with the developer. In this paper, we will focus on ‘Chemical stochastic’ improvement, especially, the dissolving behavior by using negative-tone imaging (NTI, using organic solvent-based developer) with EUV exposure (EUV-NTI). EUV-NTI had a potential for improving ‘Chemical stochastic’ because of their properties, which were low swelling and smooth dissolving behavior. However, the pattern collapse was easily observed for preparing fine patterns with the standard developer. Newly proposed novel formulated organic solvent-based developer will be expected to improve the patter collapse issue and ‘Chemical stochastic’. Lithographic performance will also be reported.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123343449","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Ultra-thick positive photoresist layers for maskless grayscale lithography 用于无掩模灰度光刻的超厚正光刻胶层
Pub Date : 2023-05-01 DOI: 10.1117/12.2658355
Dominique Collé, G. Ekindorf
Maskless grayscale lithography is a key technology to create structured surfaces in photoresist, especially for micro-optic applications. It uses spatially modulated light intensity to expose a layer of low-contrast positive resist. A digital design that contains gray values or height information, corresponding to a certain target depth in the photoresist, can conveniently be exposed with a DWL 66+ from Heidelberg Instruments. Processes are well known for thicknesses up to 60 μm. The possibility to fabricate taller structures, are of great interest in the micro-fabrication world. Two experimental and one commercial resists have been compared to reach and exceed the 100 μm symbolic height. After a validating experiment in a single coated layer of an experimental DNQ-based photoresist, we doubled and tripled the coating cycles at relatively low velocity and quickly obtained promising results. The triple-coated film while enabling the possibility to fabricate structures 100 μm high showed some sort of delamination in the deepest region of the layer, close to the substrate’s surface. The delamination indicates the formation of N2 bubbles, a disadvantage of DNQ-based photoresists that release nitrogen when exposed to light. Experiments with a commercially available resist seems to show similar behavior for thicknesses above 80 μm. Recent experiments using a second experimental resist, from a different supplier, showed some promising results: structures slightly higher than 100 μm without visible defect caused by nitrogen have been fabricated. Another advantage is that overall dose required to reach this depth was significantly lower than in the previous test.
无掩模灰度光刻技术是在光刻胶中创建结构表面的关键技术,特别是在微光学应用中。它使用空间调制光强度来暴露一层低对比度的正抗蚀剂。包含灰度值或高度信息的数字设计,对应于光刻胶中的某个目标深度,可以方便地使用海德堡仪器的DWL 66+进行曝光。众所周知,厚度可达60 μm。制造更高结构的可能性,在微加工领域引起了极大的兴趣。对比了两种实验电阻和一种商用电阻达到和超过100 μm的符号高度。在实验用dnq基光刻胶的单层涂层上进行验证实验后,我们以相对较低的速度将涂层周期增加了一倍和三倍,并迅速获得了有希望的结果。三层涂层薄膜虽然可以制造100 μm高的结构,但在靠近衬底表面的层的最深处出现了某种分层。分层表明N2气泡的形成,这是dnq基光阻剂暴露在光线下释放氮气的缺点。用市售抗蚀剂进行的实验表明,对于厚度大于80 μm的抗蚀剂,也表现出类似的性能。最近使用来自不同供应商的第二种实验抗蚀剂进行的实验显示了一些有希望的结果:已经制造出略高于100 μm的结构,并且没有由氮引起的明显缺陷。另一个优点是达到这个深度所需的总剂量明显低于以前的试验。
{"title":"Ultra-thick positive photoresist layers for maskless grayscale lithography","authors":"Dominique Collé, G. Ekindorf","doi":"10.1117/12.2658355","DOIUrl":"https://doi.org/10.1117/12.2658355","url":null,"abstract":"Maskless grayscale lithography is a key technology to create structured surfaces in photoresist, especially for micro-optic applications. It uses spatially modulated light intensity to expose a layer of low-contrast positive resist. A digital design that contains gray values or height information, corresponding to a certain target depth in the photoresist, can conveniently be exposed with a DWL 66+ from Heidelberg Instruments. Processes are well known for thicknesses up to 60 μm. The possibility to fabricate taller structures, are of great interest in the micro-fabrication world. Two experimental and one commercial resists have been compared to reach and exceed the 100 μm symbolic height. After a validating experiment in a single coated layer of an experimental DNQ-based photoresist, we doubled and tripled the coating cycles at relatively low velocity and quickly obtained promising results. The triple-coated film while enabling the possibility to fabricate structures 100 μm high showed some sort of delamination in the deepest region of the layer, close to the substrate’s surface. The delamination indicates the formation of N2 bubbles, a disadvantage of DNQ-based photoresists that release nitrogen when exposed to light. Experiments with a commercially available resist seems to show similar behavior for thicknesses above 80 μm. Recent experiments using a second experimental resist, from a different supplier, showed some promising results: structures slightly higher than 100 μm without visible defect caused by nitrogen have been fabricated. Another advantage is that overall dose required to reach this depth was significantly lower than in the previous test.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"120929399","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Overlay performances of wafer scale nanoimprint lithography 晶片级纳米压印光刻的覆盖性能
Pub Date : 2023-05-01 DOI: 10.1117/12.2655105
J. Reche, Api Warsono, Anaïs De Lehelle D'Affroux, Jonas Khan, S. Haumann, A. Kneidinger
Since its beginning in the 90’s NanoImprint Lithography (NIL) has been continuously improved to target the different industry requirements. Using an intermediate soft stamp media was one of the main improvements and has now become a standard technology. Based on that technology, EVG introduces a full wafer imprinting solution, whereas the size of the stamp corresponds to the size of the wafer to imprint. Results obtained at CEA-Leti using this solution, with respect to uniformity, sub-50nm resolution, repeatability, and high aspect ratio patterns, are today state of the art and allow NIL to be considered as an HVM technology. Nevertheless, further development is carried out on different aspects such as overlay (OVL) which is the scope of this work. Different contributors of OVL as translation, rotation but also distortion are dissociated and analyzed. Alignment repeatability is studied. Additionally, imprint to imprint OVL correction terms are applied. A dedicated methodology has been established and allows to obtain global OVL signature. According to the above, main process contributors are highlighted and studied in the paper to separate influence of each of them. Finally, different ways to improve overlay are discussed and some of them - which could be linked to hardware, process or both - are evaluated. Overall, the OVL status obtained and first improvements bring NIL technology closer to the alignment requirements of the industry.
自90年代开始,纳米压印光刻(NIL)一直在不断改进,以满足不同行业的需求。使用中间软压印介质是主要的改进之一,现在已经成为一种标准技术。基于该技术,EVG引入了一个完整的晶圆压印解决方案,而印章的尺寸对应于要压印的晶圆的尺寸。在CEA-Leti使用该解决方案获得的结果,在均匀性、低于50nm的分辨率、可重复性和高纵横比模式方面,是当今最先进的技术,允许NIL被认为是一种HVM技术。然而,在不同方面进行了进一步的开发,例如覆盖(OVL),这是本工作的范围。分析了OVL的不同影响因素,如平移、旋转和畸变。研究了对准的可重复性。此外,还应用了印对印OVL校正项。一个专门的方法已经建立,并允许获得全局OVL签名。据此,本文对主要的工艺因素进行了突出和研究,分离出各自的影响。最后,讨论了改善覆盖的不同方法,并对其中一些方法进行了评估,这些方法可以与硬件、工艺或两者相关联。总体而言,获得的OVL状态和首次改进使NIL技术更接近行业的对准要求。
{"title":"Overlay performances of wafer scale nanoimprint lithography","authors":"J. Reche, Api Warsono, Anaïs De Lehelle D'Affroux, Jonas Khan, S. Haumann, A. Kneidinger","doi":"10.1117/12.2655105","DOIUrl":"https://doi.org/10.1117/12.2655105","url":null,"abstract":"Since its beginning in the 90’s NanoImprint Lithography (NIL) has been continuously improved to target the different industry requirements. Using an intermediate soft stamp media was one of the main improvements and has now become a standard technology. Based on that technology, EVG introduces a full wafer imprinting solution, whereas the size of the stamp corresponds to the size of the wafer to imprint. Results obtained at CEA-Leti using this solution, with respect to uniformity, sub-50nm resolution, repeatability, and high aspect ratio patterns, are today state of the art and allow NIL to be considered as an HVM technology. Nevertheless, further development is carried out on different aspects such as overlay (OVL) which is the scope of this work. Different contributors of OVL as translation, rotation but also distortion are dissociated and analyzed. Alignment repeatability is studied. Additionally, imprint to imprint OVL correction terms are applied. A dedicated methodology has been established and allows to obtain global OVL signature. According to the above, main process contributors are highlighted and studied in the paper to separate influence of each of them. Finally, different ways to improve overlay are discussed and some of them - which could be linked to hardware, process or both - are evaluated. Overall, the OVL status obtained and first improvements bring NIL technology closer to the alignment requirements of the industry.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131971564","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Photoresists with precisely controlled molecular weight, composition, and sequence 光刻胶具有精确控制的分子量、组成和顺序
Pub Date : 2023-05-01 DOI: 10.1117/12.2658582
F. Käfer, Z. MEng, R. Segalman, Javier Read de Alaniz, C. Ober
One of the major challenges to lithography today is minimizing the consequences of stochastics, that is, the effect of statistical differences in photoresist structure and the distribution of additives, such as photo active compounds, in the photoresist. Most polymer photoresists due to their method of production will have large differences between polymer chains in molecular weight, composition, and sequence due to the nature of polymer synthesis. However, there exist methods of polymer formation that make uniform composition polymers such a homopolymers made using living polymerization. We discuss scissionable poly(phthalaldehyde)s as one example of a low stochastics photoresist. Using another method originally developed for the biological community we make polymers in which molecular weight, composition and sequence are identical in all polymer chains produced. Here we thus describe studies of polypeptoids, synthetic analogs of peptides, which have no chirality and in which the substituents are placed on the backbone nitrogen. The peptoids are produced as chemically amplified photoresists and are intended for study as EUV materials. To produce a CAR with aqueous base development using this hydrophilic backbone we have successfully learned how to make a more hydrophobic patterning system with Tg >100 °C. With our ability to control of sequence we have started to explore the effect that monomer placement has on lithographic performance and found that indeed sequence does play an important role. Sequences of solubility switch groups, adhesive, etch resistant and hydrophobic groups have been studied. Using e-beam lithography we have recently demonstrated sub-30 nm resolution.
当今光刻技术的主要挑战之一是尽量减少随机性的后果,即光刻胶结构的统计差异和添加剂(如光活性化合物)在光刻胶中的分布的影响。由于聚合物合成的性质,大多数聚合物光刻胶由于其生产方法的不同,在分子量、组成和顺序上的聚合物链之间存在很大差异。然而,存在的聚合物形成的方法,使均匀组成的聚合物,如均聚物制成使用活聚合。我们讨论可剪切聚(邻苯二醛)作为一个低随机光阻剂的例子。使用最初为生物界开发的另一种方法,我们制造聚合物,其中所有聚合物链的分子量,组成和序列相同。因此,我们在这里描述了多肽的研究,多肽的合成类似物,它没有手性,其中取代基位于主氮上。这些类肽以化学放大的光刻胶的形式产生,并打算作为EUV材料进行研究。为了利用这种亲水性骨架制备具有水基显影的CAR,我们已经成功地学习了如何制备Tg >100°C的更疏水的图图化体系。随着我们控制序列的能力,我们已经开始探索单体放置对光刻性能的影响,并发现序列确实起着重要作用。研究了溶解度开关基团、粘附基团、耐蚀刻基团和疏水性基团的序列。利用电子束光刻技术,我们最近展示了低于30纳米的分辨率。
{"title":"Photoresists with precisely controlled molecular weight, composition, and sequence","authors":"F. Käfer, Z. MEng, R. Segalman, Javier Read de Alaniz, C. Ober","doi":"10.1117/12.2658582","DOIUrl":"https://doi.org/10.1117/12.2658582","url":null,"abstract":"One of the major challenges to lithography today is minimizing the consequences of stochastics, that is, the effect of statistical differences in photoresist structure and the distribution of additives, such as photo active compounds, in the photoresist. Most polymer photoresists due to their method of production will have large differences between polymer chains in molecular weight, composition, and sequence due to the nature of polymer synthesis. However, there exist methods of polymer formation that make uniform composition polymers such a homopolymers made using living polymerization. We discuss scissionable poly(phthalaldehyde)s as one example of a low stochastics photoresist. Using another method originally developed for the biological community we make polymers in which molecular weight, composition and sequence are identical in all polymer chains produced. Here we thus describe studies of polypeptoids, synthetic analogs of peptides, which have no chirality and in which the substituents are placed on the backbone nitrogen. The peptoids are produced as chemically amplified photoresists and are intended for study as EUV materials. To produce a CAR with aqueous base development using this hydrophilic backbone we have successfully learned how to make a more hydrophobic patterning system with Tg >100 °C. With our ability to control of sequence we have started to explore the effect that monomer placement has on lithographic performance and found that indeed sequence does play an important role. Sequences of solubility switch groups, adhesive, etch resistant and hydrophobic groups have been studied. Using e-beam lithography we have recently demonstrated sub-30 nm resolution.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133251058","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
Advanced Lithography
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1