首页 > 最新文献

2019 International Conference on Electronics Packaging (ICEP)最新文献

英文 中文
Inspection / Metrology Evaluation of Fine Pitch Test Vehicles for Advanced Packages 先进封装小间距试验车的检验/计量评估
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733543
Feng Xue, Joe Zou, Cindy Han, C. Reynolds, T. Wassick, Glenn Pomerantz, Jason L. Frankel, R. Bonam, C. Woychik, M. Tsuriya
The demand for integrated silicon packages is driving packaging advancements for increasingly fine circuit pattern designs. Due to the thinner copper and finer features required for advanced packaging, copper line defects can significantly impact yield and reliability. Industry Automated Optical Inspection (AOI) capabilities for packaging features are reaching detection limits.Phase One of the iNEMI metrology project surveyed industry capability and completed a gap analysis. This work established the basis for the current activities in Phase Two. A fine featured test vehicle was designed and fabricated using thin film processing technologies on both glass and silicon substrates to evaluate and assess the limits of today’s AOI metrology equipment. The test vehicle also provided a platform to develop future metrology capability required to close the technical gaps with respect to product requirements and process and material capabilities.The test vehicle samples were provided to various industrial AOI equipment suppliers to evaluate their capabilities in evaluating fine lines and spaces. The integrity of the fine wiring lines and spaces was compared between a control pattern and known defects utilizing wiring patterns from 10 μm lines and spaces to 1 μm lines and spaces. Various types of features including different orientations were evaluated: a) Line width violations; b) Spacing violations; c) Excess copper or missing copper; d) Shorts; e) Opens. This paper will review the details of the Phase Two test vehicle and the results from the AOI evaluations, and present a roadmap for the next phase of the study with test vehicles on organic substrates.
对集成硅封装的需求正在推动封装的进步,以实现越来越精细的电路图案设计。由于先进封装需要更薄的铜和更精细的特性,铜线缺陷会严重影响良率和可靠性。工业自动光学检测(AOI)的包装功能已达到检测极限。iNEMI计量项目的第一阶段调查了工业能力并完成了差距分析。这项工作为第二阶段目前的活动奠定了基础。在玻璃和硅衬底上使用薄膜加工技术设计和制造了一辆性能优良的测试车,以评估和评估当今AOI计量设备的极限。测试车辆还提供了一个平台,用于开发未来所需的计量能力,以缩小产品需求、工艺和材料能力方面的技术差距。测试车辆样品提供给各种工业AOI设备供应商,以评估他们评估细线和空间的能力。利用从10 μm线和空间到1 μm线和空间的布线模式,比较了控制模式和已知缺陷之间的精细布线线和空间的完整性。评估了包括不同方向在内的各种类型的特征:a)线宽违规;b)间距违规;c)铜过量或缺铜;d)短裤;e)打开。本文将回顾第二阶段测试车辆的细节和AOI评估的结果,并提出下一阶段在有机基质上测试车辆的研究路线图。
{"title":"Inspection / Metrology Evaluation of Fine Pitch Test Vehicles for Advanced Packages","authors":"Feng Xue, Joe Zou, Cindy Han, C. Reynolds, T. Wassick, Glenn Pomerantz, Jason L. Frankel, R. Bonam, C. Woychik, M. Tsuriya","doi":"10.23919/ICEP.2019.8733543","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733543","url":null,"abstract":"The demand for integrated silicon packages is driving packaging advancements for increasingly fine circuit pattern designs. Due to the thinner copper and finer features required for advanced packaging, copper line defects can significantly impact yield and reliability. Industry Automated Optical Inspection (AOI) capabilities for packaging features are reaching detection limits.Phase One of the iNEMI metrology project surveyed industry capability and completed a gap analysis. This work established the basis for the current activities in Phase Two. A fine featured test vehicle was designed and fabricated using thin film processing technologies on both glass and silicon substrates to evaluate and assess the limits of today’s AOI metrology equipment. The test vehicle also provided a platform to develop future metrology capability required to close the technical gaps with respect to product requirements and process and material capabilities.The test vehicle samples were provided to various industrial AOI equipment suppliers to evaluate their capabilities in evaluating fine lines and spaces. The integrity of the fine wiring lines and spaces was compared between a control pattern and known defects utilizing wiring patterns from 10 μm lines and spaces to 1 μm lines and spaces. Various types of features including different orientations were evaluated: a) Line width violations; b) Spacing violations; c) Excess copper or missing copper; d) Shorts; e) Opens. This paper will review the details of the Phase Two test vehicle and the results from the AOI evaluations, and present a roadmap for the next phase of the study with test vehicles on organic substrates.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126449929","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A Cu-Cu Bonding Method Using Preoxidized Cu Microparticles under Formic Acid Atmosphere 甲酸气氛下预氧化Cu微粒的Cu-Cu键合方法
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733490
Runhua Gao, Jiahui Li, Yu-An Shen, H. Nishikawa
Many power semiconductor devices now require high tolerance of current density and reliability at high temperature, therefore Cu-Cu bonding using an insert material has raised the level of concerns for its great thermal stability and conductivity. In this study, a low-pressure bonding process was developed to achieve a Cu-Cu bonding using preoxidized Cu microparticles under formic acid atmosphere. The Cu microparticles were preoxidized to generate oxide films and Cu oxide nanostructures, which were then reduced and bonded at 300 °C under formic acid atmosphere to achieve a Cu-Cu bonding. Shear strength of the Cu-Cu bondings were tested to optimize the parameters of bonding process. Fracture surfaces of the Cu-Cu bonding, as well as cross-sectional microstructures, were observed by scanning electrical microscope (SEM) and components were identified by X-ray diffraction (XRD) to investigate the bonding mechanism. The findings reveal that the oxide films and the nanostructures play key roles in this reduction bonding process, which is a promising method to obtain a Cu-Cu bonding satisfying the requirements of power device packaging.
许多功率半导体器件现在需要高电流密度耐受性和高温下的可靠性,因此使用插入材料的Cu-Cu键合因其出色的热稳定性和导电性而引起了人们的关注。本研究开发了一种低压键合工艺,在甲酸气氛下利用预氧化的Cu微粒实现Cu-Cu键合。将Cu微粒子预氧化生成氧化膜和氧化Cu纳米结构,然后在甲酸气氛下在300°C下还原键合,实现Cu-Cu键合。测试了Cu-Cu键合的剪切强度,优化了键合工艺参数。采用扫描电镜(SEM)和x射线衍射(XRD)分别观察了Cu-Cu键合的断裂面和断面显微结构,并对其成分进行了鉴定,探讨了键合机理。研究结果表明,氧化膜和纳米结构在还原键合过程中起着关键作用,这是获得满足功率器件封装要求的Cu-Cu键合的一种很有前途的方法。
{"title":"A Cu-Cu Bonding Method Using Preoxidized Cu Microparticles under Formic Acid Atmosphere","authors":"Runhua Gao, Jiahui Li, Yu-An Shen, H. Nishikawa","doi":"10.23919/ICEP.2019.8733490","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733490","url":null,"abstract":"Many power semiconductor devices now require high tolerance of current density and reliability at high temperature, therefore Cu-Cu bonding using an insert material has raised the level of concerns for its great thermal stability and conductivity. In this study, a low-pressure bonding process was developed to achieve a Cu-Cu bonding using preoxidized Cu microparticles under formic acid atmosphere. The Cu microparticles were preoxidized to generate oxide films and Cu oxide nanostructures, which were then reduced and bonded at 300 °C under formic acid atmosphere to achieve a Cu-Cu bonding. Shear strength of the Cu-Cu bondings were tested to optimize the parameters of bonding process. Fracture surfaces of the Cu-Cu bonding, as well as cross-sectional microstructures, were observed by scanning electrical microscope (SEM) and components were identified by X-ray diffraction (XRD) to investigate the bonding mechanism. The findings reveal that the oxide films and the nanostructures play key roles in this reduction bonding process, which is a promising method to obtain a Cu-Cu bonding satisfying the requirements of power device packaging.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130951927","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Construction and Verification of Novel Insulation Defect Location System with High Space Resolution for Next Generation Power Module 新一代电源模块高空间分辨率绝缘缺陷定位系统的构建与验证
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733578
Junya Maki, T. Matsuzoe, M. Kozako, M. Hikita, Y. Nakamura, K. Taniguchi, Y. Ikeda, K. Okamoto
This paper reports on construction and verification of a high space resolution partial discharge (PD) location system for the next generation power module. A novel PD location method (polarity reversion method) is proposed by calculating electromagnetic wave (EMW) propagation characteristics inside the power module using finite difference time domain (FDTD) analysis. In addition, EMW signal characteristics under AC voltage and impulse voltage application are compared. Based on these results, an automatic PD location system has been successfully constructed under the impulse voltage application.
本文报道了用于下一代电源模块的高空间分辨率局部放电(PD)定位系统的构建与验证。利用时域有限差分法(FDTD)计算电源模块内电磁波的传播特性,提出了一种新的局部放电定位方法(极性反转法)。此外,还比较了交流电压和冲击电压下EMW信号的特性。在此基础上,成功构建了脉冲电压作用下的PD自动定位系统。
{"title":"Construction and Verification of Novel Insulation Defect Location System with High Space Resolution for Next Generation Power Module","authors":"Junya Maki, T. Matsuzoe, M. Kozako, M. Hikita, Y. Nakamura, K. Taniguchi, Y. Ikeda, K. Okamoto","doi":"10.23919/ICEP.2019.8733578","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733578","url":null,"abstract":"This paper reports on construction and verification of a high space resolution partial discharge (PD) location system for the next generation power module. A novel PD location method (polarity reversion method) is proposed by calculating electromagnetic wave (EMW) propagation characteristics inside the power module using finite difference time domain (FDTD) analysis. In addition, EMW signal characteristics under AC voltage and impulse voltage application are compared. Based on these results, an automatic PD location system has been successfully constructed under the impulse voltage application.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126708794","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Effects of electroless copper plating on crystal continuity in via bottom 化学镀铜对孔底晶体连续性的影响
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733433
Y. Kitahara, Joonhaeng Kang
For advanced connecting reliability in micro-via holes, we have newly developed the electroless copper plating solution and investigated the features of the solution. With the conventional electroless copper plating solution containing nickel, when the thickness of electroless copper plating films is very small, the throwing power have dropped at the bottom corners of the micro-via holes; besides, the electrical resistance of the seed layers has increased at the same areas. With the developed solution without nickel but containing agents, it is possible to secure a low sheet resistance and high throwing power in micro- via holes; besides, high thermal resistance can be obtained after soldering because copper crystalline structure continues from the inner copper foil.
为了提高微通孔的连接可靠性,我们开发了一种新的化学镀铜溶液,并研究了该溶液的特点。用含镍的常规化学镀铜液,当化学镀铜膜厚度很小时,微通孔底角处的抛射功率有所下降;此外,在同一区域,种子层的电阻也有所增加。该溶液不含镍,但含有助剂,可以保证微通孔的低片阻和高抛射力;此外,由于铜晶体结构从内部铜箔延续,焊接后可以获得较高的热阻。
{"title":"Effects of electroless copper plating on crystal continuity in via bottom","authors":"Y. Kitahara, Joonhaeng Kang","doi":"10.23919/ICEP.2019.8733433","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733433","url":null,"abstract":"For advanced connecting reliability in micro-via holes, we have newly developed the electroless copper plating solution and investigated the features of the solution. With the conventional electroless copper plating solution containing nickel, when the thickness of electroless copper plating films is very small, the throwing power have dropped at the bottom corners of the micro-via holes; besides, the electrical resistance of the seed layers has increased at the same areas. With the developed solution without nickel but containing agents, it is possible to secure a low sheet resistance and high throwing power in micro- via holes; besides, high thermal resistance can be obtained after soldering because copper crystalline structure continues from the inner copper foil.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122411233","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Surface Analyses of Oxidized Cu-Fe-Zn-P Lead Frames 氧化Cu-Fe-Zn-P引线框架的表面分析
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733471
Shi Chao, Jen-Hsiang Liu, Wei-Chen Huang, Jenn-Ming Song, Po-Yen Shen, Chi-Lin Huang, Lung-Tang Hung, Chin-Huang Chang
A precise and efficient method to analyze surface oxide using FTIR and electrochemical reduction was successfully developed in this study. Systematic analyses on surface oxide layer of commercial Cu-Fe-Zn-P (C194) lead frames demonstrate that this integrated analytical method can be applied to decide the variation in oxide type from top surface to subsurface and corresponding layer thickness. The results turned out to be in good agreement with XPS and TEM data.
本研究成功地建立了一种利用红外光谱和电化学还原分析表面氧化物的精确、高效的方法。对商品Cu-Fe-Zn-P (C194)引线框架表面氧化层的系统分析表明,该综合分析方法可用于确定上表面到下表面的氧化类型变化及相应的层厚。结果与XPS和TEM数据吻合较好。
{"title":"Surface Analyses of Oxidized Cu-Fe-Zn-P Lead Frames","authors":"Shi Chao, Jen-Hsiang Liu, Wei-Chen Huang, Jenn-Ming Song, Po-Yen Shen, Chi-Lin Huang, Lung-Tang Hung, Chin-Huang Chang","doi":"10.23919/ICEP.2019.8733471","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733471","url":null,"abstract":"A precise and efficient method to analyze surface oxide using FTIR and electrochemical reduction was successfully developed in this study. Systematic analyses on surface oxide layer of commercial Cu-Fe-Zn-P (C194) lead frames demonstrate that this integrated analytical method can be applied to decide the variation in oxide type from top surface to subsurface and corresponding layer thickness. The results turned out to be in good agreement with XPS and TEM data.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122898100","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Nano-Cu paste sintering in Pt-catalyzed formic acid vapor for Cu bonding at a low temperature 纳米铜浆料在pt催化甲酸蒸气中低温烧结成铜键
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733407
F. Mu, Hui Ren, Lei Liu, Yinghui Wang, G. Zou, T. Suga
A low temperature all-Cu bonding via the sintering of nano-Cu paste in Pt-catalyzed formic acid vapor was studied, which was demonstrated with a large tolerance of surface oxidation. The bonding strength of oxidized-Cu to Cu could be significantly improved via the treatment of Pt-catalyzed formic acid vapor. The interfacial analysis was carried out to understand the mechanisms.
研究了在pt催化的甲酸蒸气中烧结纳米cu膏体的低温全cu键合,证明其具有较大的表面氧化耐受性。通过pt催化甲酸蒸气处理,可以显著提高氧化Cu与Cu的结合强度。通过界面分析了解其作用机理。
{"title":"Nano-Cu paste sintering in Pt-catalyzed formic acid vapor for Cu bonding at a low temperature","authors":"F. Mu, Hui Ren, Lei Liu, Yinghui Wang, G. Zou, T. Suga","doi":"10.23919/ICEP.2019.8733407","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733407","url":null,"abstract":"A low temperature all-Cu bonding via the sintering of nano-Cu paste in Pt-catalyzed formic acid vapor was studied, which was demonstrated with a large tolerance of surface oxidation. The bonding strength of oxidized-Cu to Cu could be significantly improved via the treatment of Pt-catalyzed formic acid vapor. The interfacial analysis was carried out to understand the mechanisms.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121382458","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Au–Sn Soldering Using a Micro-heater to Restrain Excess Temperature Rise Inside the Package 使用微加热器抑制封装内部温度过高的金锡焊接
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733519
H. Mizusaki, Toshiro Sato, M. Sonehara
The high-melting point solder, approximately 300°C, is often used for assembling reflow-capable devices. When thermally sensitive devices such as PZT sensors with a Curie temperature of approximately 250 °C are encased in a package using solder, the temperature inside the package must be below 250 °C and precisely measured to avoid thermal damage. In this study, the authors proposed a novel in-situ temperature measurement method inside the package based on a chip-sized thermometer. A new soldering method using a micro-heater was developed to heat solder locally without overheating inside the package. In the conventional soldering method of heating the whole package, the temperature inside the package reached 260 °C; in the new soldering method, it was kept to 180 °C. Moreover, the temperature distribution inside the package was examined in detail by transient heat transfer analysis. The analysis results were in agreement with the experimental results using the two soldering methods. From the analysis results, it was found that the electrically conductive vias inside the package assisted in the transfer of heat to the solder and a Si lid played a role as the heat transfer path.
高熔点焊料,约300°C,通常用于组装回流装置。当使用焊料将热敏器件(如居里温度约为250°C的PZT传感器)封装在封装中时,封装内部的温度必须低于250°C并进行精确测量,以避免热损坏。在这项研究中,作者提出了一种新的基于芯片尺寸温度计的封装内部原位温度测量方法。开发了一种新的焊接方法,使用微加热器局部加热焊料而不会在封装内部过热。在对整个封装进行加热的常规焊接方法中,封装内部温度达到260℃;在新的焊接方法中,温度保持在180°C。此外,通过瞬态传热分析,详细研究了包内温度分布。分析结果与两种焊接方法的实验结果吻合较好。从分析结果来看,封装内部的导电通孔有助于将热量传递给焊料,而Si盖则起到了传热路径的作用。
{"title":"Au–Sn Soldering Using a Micro-heater to Restrain Excess Temperature Rise Inside the Package","authors":"H. Mizusaki, Toshiro Sato, M. Sonehara","doi":"10.23919/ICEP.2019.8733519","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733519","url":null,"abstract":"The high-melting point solder, approximately 300°C, is often used for assembling reflow-capable devices. When thermally sensitive devices such as PZT sensors with a Curie temperature of approximately 250 °C are encased in a package using solder, the temperature inside the package must be below 250 °C and precisely measured to avoid thermal damage. In this study, the authors proposed a novel in-situ temperature measurement method inside the package based on a chip-sized thermometer. A new soldering method using a micro-heater was developed to heat solder locally without overheating inside the package. In the conventional soldering method of heating the whole package, the temperature inside the package reached 260 °C; in the new soldering method, it was kept to 180 °C. Moreover, the temperature distribution inside the package was examined in detail by transient heat transfer analysis. The analysis results were in agreement with the experimental results using the two soldering methods. From the analysis results, it was found that the electrically conductive vias inside the package assisted in the transfer of heat to the solder and a Si lid played a role as the heat transfer path.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116793024","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Suppression of Backside Damage in Stealth Dicing 抑制隐形掷骰子时的背面伤害
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733542
Natsuki Suzuki, T. Ohba
This paper describes a technique for minimizing damage during singulation of wafers using a laser dicing method called Stealth Dicing. We developed a TEG wafer, having multiple wiring lines of Ti/TiN/AlCu layers, to monitor laser damage. The wiring lines were designed so that the change in wiring resistance caused by scattered light could be measured. Laser damage evaluation was carried out at a laser light wavelength of 1342 nm, which has high transmittance in Si wafers. We confirmed that the width of the laser-damaged region could be suppressed to less than 20 μm by optimizing the laser focal position and laser power. By applying this Stealth Dicing technology, damage-free dicing can be achieved, and high-yield singulation for mass production can be expected.
本文描述了一种使用激光切割的方法来最大限度地减少硅片在模拟过程中的损伤,这种方法被称为隐形切割。我们开发了一种TEG晶圆,具有多条Ti/TiN/AlCu层的布线线,用于监测激光损伤。对布线线进行了设计,以便测量散射光引起的布线电阻变化。在高透射率的1342 nm激光波长下进行激光损伤评价。通过优化激光聚焦位置和激光功率,可以将激光损伤区的宽度抑制在20 μm以内。通过应用这种隐形切割技术,可以实现无损伤切割,并有望实现量产的高产量模拟。
{"title":"Suppression of Backside Damage in Stealth Dicing","authors":"Natsuki Suzuki, T. Ohba","doi":"10.23919/ICEP.2019.8733542","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733542","url":null,"abstract":"This paper describes a technique for minimizing damage during singulation of wafers using a laser dicing method called Stealth Dicing. We developed a TEG wafer, having multiple wiring lines of Ti/TiN/AlCu layers, to monitor laser damage. The wiring lines were designed so that the change in wiring resistance caused by scattered light could be measured. Laser damage evaluation was carried out at a laser light wavelength of 1342 nm, which has high transmittance in Si wafers. We confirmed that the width of the laser-damaged region could be suppressed to less than 20 μm by optimizing the laser focal position and laser power. By applying this Stealth Dicing technology, damage-free dicing can be achieved, and high-yield singulation for mass production can be expected.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115596583","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Heatsink design using spiral-fins considering additive manufacturing 考虑增材制造的螺旋翅片散热器设计
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733558
Shingo Otake, Y. Tateishi, H. Gohara, R. Kato, Y. Ikeda, V. Parque, Muhammed Khairi Faiz, M. Yoshida, T. Miyashita
In recent years, there have been increasing the number of power modules which is required with high performance, miniaturization and weight saving. But these requires cause high heat generation density for power module, which gets junction operation temperature to rise. Cooling unit is thus greatly demanded for high heat dissipation. The simple shaped heatsinks (straight-fin type and pin fin type) were generally used. But they have the limit of cooling performance. In this report, we have developed new heatsink shape to cope with rapidly increasing of the cooling requirement. Cooling performance is shown to thermal resistance and pressure loss. We evaluated them by thermal fluid analysis. In this approach, the spiral-fin heatsink with spiral curved channels has excellent cooling performance. This shape is the unique point in this report. This is because the shape with three-dimensional regular curve has not been studied. The spiral-fin heatsink has many factors (fin thickness, fin pitch, the number of channels, etc.). These factors affect cooler performance. We changed these factors to determine the best shape of spiral-fin. As a result, the best shape is 14.9[%] lower than the straight-fin type in thermal resistance.
近年来,对高性能、小型化和轻量化的要求越来越高,电源模块的数量也在不断增加。但这就要求功率模块的产热密度过高,导致结工作温度升高。因此,对冷却装置的高散热要求很大。一般采用形状简单的散热器(直鳍型和针鳍型)。但是它们的冷却性能是有限的。在本报告中,我们开发了新的散热器形状,以应对快速增长的冷却要求。冷却性能表现为热阻和压力损失。通过热流体分析对其进行了评价。在这种方法中,具有螺旋弯曲通道的螺旋翅片散热器具有良好的冷却性能。这个形状是这个报告的独特之处。这是因为具有三维规则曲线的形状尚未得到研究。螺旋翅片散热器有许多因素(翅片厚度、翅片间距、通道数量等)。这些因素影响冷却器的性能。我们通过改变这些因素来确定最佳的螺旋鳍形状。结果表明,最佳形状的热阻比直翅型低14.9%。
{"title":"Heatsink design using spiral-fins considering additive manufacturing","authors":"Shingo Otake, Y. Tateishi, H. Gohara, R. Kato, Y. Ikeda, V. Parque, Muhammed Khairi Faiz, M. Yoshida, T. Miyashita","doi":"10.23919/ICEP.2019.8733558","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733558","url":null,"abstract":"In recent years, there have been increasing the number of power modules which is required with high performance, miniaturization and weight saving. But these requires cause high heat generation density for power module, which gets junction operation temperature to rise. Cooling unit is thus greatly demanded for high heat dissipation. The simple shaped heatsinks (straight-fin type and pin fin type) were generally used. But they have the limit of cooling performance. In this report, we have developed new heatsink shape to cope with rapidly increasing of the cooling requirement. Cooling performance is shown to thermal resistance and pressure loss. We evaluated them by thermal fluid analysis. In this approach, the spiral-fin heatsink with spiral curved channels has excellent cooling performance. This shape is the unique point in this report. This is because the shape with three-dimensional regular curve has not been studied. The spiral-fin heatsink has many factors (fin thickness, fin pitch, the number of channels, etc.). These factors affect cooler performance. We changed these factors to determine the best shape of spiral-fin. As a result, the best shape is 14.9[%] lower than the straight-fin type in thermal resistance.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127318849","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
ICEP 2019 Partner Organizations ICEP 2019合作伙伴组织
Pub Date : 2019-04-01 DOI: 10.23919/icep.2019.8733536
{"title":"ICEP 2019 Partner Organizations","authors":"","doi":"10.23919/icep.2019.8733536","DOIUrl":"https://doi.org/10.23919/icep.2019.8733536","url":null,"abstract":"","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127391601","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
2019 International Conference on Electronics Packaging (ICEP)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1