首页 > 最新文献

2019 International Conference on Electronics Packaging (ICEP)最新文献

英文 中文
Materials Informatics Technique for Designing Strong-Adhesion Interfaces in Electronics Devices 电子器件中强粘附界面设计的材料信息学技术
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733530
T. Iwasaki
A materials-informatics technique for designing strong flat interfaces has been developed by use of advanced simulation that can calculate the delamination energy as the adhesion strength. In this study, this technique is applied to the design of metal (or alloy) with strong adhesion to polyimide, which is an example resin used for printed circuit boards. At the first stage, the interatomic spacings were selected as the important, dominant metal parameters from four metal parameters (the short-distance and long-distance interatomic spacings, electronegativity, and surface energy density) by using sensitivity analysis based on the design-of-experiments method with the delamination-energy data calculated from the advanced simulation. At the second stage, the adhesion strength (delamination energy) is expressed as a function of the important alloy parameters (i.e., the short-distance and long-distance interatomic spacings) by using Kriging-method-based artificial intelligence. At the third stage, by solving the maximum-value problem of the function, it was found that the metal that has the same short-distance and long-distance interatomic spacings as those of the resin has the strongest adhesion to the resin. Finally, it was confirmed that the metal (Ni-12%Mn) that satisfies this lattice-matching condition has the strongest adhesion by conducting a scratch test. Thus, lattice matching was found to be the most important factor in the adhesion.
利用先进的仿真技术,开发了一种设计强平面界面的材料信息学技术,该技术可以将分层能计算为粘附强度。在这项研究中,该技术被应用于设计与聚酰亚胺具有强附着力的金属(或合金),聚酰亚胺是用于印刷电路板的一种示例树脂。第一阶段采用基于实验设计的灵敏度分析方法,利用先进模拟计算的分层能数据,从近距离和远距离原子间间距、电负性和表面能密度四个金属参数中选择原子间间距作为重要的优势金属参数。在第二阶段,利用基于kriging方法的人工智能将粘接强度(分层能)表示为合金重要参数(即原子间短距离和远距离间距)的函数。在第三阶段,通过求解函数的最大值问题,发现与树脂具有相同短距离和长距离原子间间距的金属与树脂的附着力最强。最后,通过划痕试验证实,满足这种晶格匹配条件的金属(Ni-12%Mn)具有最强的附着力。因此,晶格匹配是影响粘附的最重要因素。
{"title":"Materials Informatics Technique for Designing Strong-Adhesion Interfaces in Electronics Devices","authors":"T. Iwasaki","doi":"10.23919/ICEP.2019.8733530","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733530","url":null,"abstract":"A materials-informatics technique for designing strong flat interfaces has been developed by use of advanced simulation that can calculate the delamination energy as the adhesion strength. In this study, this technique is applied to the design of metal (or alloy) with strong adhesion to polyimide, which is an example resin used for printed circuit boards. At the first stage, the interatomic spacings were selected as the important, dominant metal parameters from four metal parameters (the short-distance and long-distance interatomic spacings, electronegativity, and surface energy density) by using sensitivity analysis based on the design-of-experiments method with the delamination-energy data calculated from the advanced simulation. At the second stage, the adhesion strength (delamination energy) is expressed as a function of the important alloy parameters (i.e., the short-distance and long-distance interatomic spacings) by using Kriging-method-based artificial intelligence. At the third stage, by solving the maximum-value problem of the function, it was found that the metal that has the same short-distance and long-distance interatomic spacings as those of the resin has the strongest adhesion to the resin. Finally, it was confirmed that the metal (Ni-12%Mn) that satisfies this lattice-matching condition has the strongest adhesion by conducting a scratch test. Thus, lattice matching was found to be the most important factor in the adhesion.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115674124","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
An Enhanced Vapor Chamber using Dielectric Organic Refrigerant 采用介电有机制冷剂的增强型蒸汽室
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733569
M. Hachiya, M. Yoshikawa
General purpose computing on GPU (Graphics Processing Units) is gaining momentum, however, GPU is reaching air cooling limit at the same time. As thermal resistance of GPU’s heat sink is already minimized, thermal resistance between GPU and heat sink is required to be reduced in order to overcome the air cooling limit. The present study investigates an enhanced vapor chamber using dielectric organic refrigerant in place of water as working fluid. It is important to increase evaporative heat transfer coefficient in order to reduce thermal resistance of a vapor chamber, and it is confirmed that evaporative heat transfer coefficient at the heat flux of GPU can be increased by utilizing refrigerant, because bubble generation frequency becomes significantly higher due to weaker surface tension although heat transfer quantity by generating a vapor bubble becomes smaller due to smaller thermal conductivity and specific heat. In addition, the presented vapor chamber can be applied to immersion cooling as refrigerant is dielectric, and thermal resistance of grease between GPU and vapor chamber can be eliminated. On the other hand, wick redesign is necessary because thermal diffusion performance of the vapor chamber is greatly degraded due to the weaker surface tension. It is also revealed that evaporative heat transfer coefficient is decreased by making effective capillary diameter of wick smaller, therefore, the vapor chamber (and the wick) should be made thicker contrary to the conventional design. The air cooling limit is estimated to be increased by 5%, and for future work, is aimed to be doubled by applying to immersion cooling.
GPU(图形处理单元)上的通用计算正在获得动力,然而,GPU正在达到空气冷却的极限。由于GPU的散热器的热阻已经最小化,因此需要减小GPU与散热器之间的热阻,以克服风冷极限。本研究研究了一种使用介电有机制冷剂代替水作为工作流体的增强型蒸汽室。为了减小蒸汽室的热阻,提高蒸发换热系数是很重要的,并且证实了利用制冷剂可以提高GPU热流密度处的蒸发换热系数,因为由于热导率和比热的减小,产生蒸汽泡的换热量减小,但由于表面张力的减弱,气泡的产生频率显著提高。此外,由于制冷剂为介电介质,该蒸汽室可用于浸没冷却,并且可以消除GPU与蒸汽室之间的润滑脂的热阻。另一方面,由于表面张力较弱,蒸汽室的热扩散性能大大降低,因此需要重新设计灯芯。研究还表明,减小芯的有效毛细直径会降低蒸发换热系数,因此,与传统设计相反,应将蒸汽室(和芯)做得更厚。空气冷却极限估计提高了5%,在未来的工作中,目标是通过浸入式冷却将其提高一倍。
{"title":"An Enhanced Vapor Chamber using Dielectric Organic Refrigerant","authors":"M. Hachiya, M. Yoshikawa","doi":"10.23919/ICEP.2019.8733569","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733569","url":null,"abstract":"General purpose computing on GPU (Graphics Processing Units) is gaining momentum, however, GPU is reaching air cooling limit at the same time. As thermal resistance of GPU’s heat sink is already minimized, thermal resistance between GPU and heat sink is required to be reduced in order to overcome the air cooling limit. The present study investigates an enhanced vapor chamber using dielectric organic refrigerant in place of water as working fluid. It is important to increase evaporative heat transfer coefficient in order to reduce thermal resistance of a vapor chamber, and it is confirmed that evaporative heat transfer coefficient at the heat flux of GPU can be increased by utilizing refrigerant, because bubble generation frequency becomes significantly higher due to weaker surface tension although heat transfer quantity by generating a vapor bubble becomes smaller due to smaller thermal conductivity and specific heat. In addition, the presented vapor chamber can be applied to immersion cooling as refrigerant is dielectric, and thermal resistance of grease between GPU and vapor chamber can be eliminated. On the other hand, wick redesign is necessary because thermal diffusion performance of the vapor chamber is greatly degraded due to the weaker surface tension. It is also revealed that evaporative heat transfer coefficient is decreased by making effective capillary diameter of wick smaller, therefore, the vapor chamber (and the wick) should be made thicker contrary to the conventional design. The air cooling limit is estimated to be increased by 5%, and for future work, is aimed to be doubled by applying to immersion cooling.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124013394","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
High thermal conductivity composite resin sheet filled with large diameter aluminum nitride and aggregated boron nitride 以大直径氮化铝和聚类氮化硼填充的高导热复合树脂片材
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733583
I. Masada, S. Fujii, S. Imazumi, K. Fujinami, Y. Kanechika, T. Nawata, M. Ueda
In order to investigate the possibility of high thermal conductivity material, composite resin sheets combining large grain size AlN filler and agglomerated BN filler were prepared and heat conductivity characteristics were evaluated. In combination with BN filler, the superiority of AlN filler to Al2O3 filler was confirmed. We investigated the influence of particle size and blending amount of AlN filler and sheet forming conditions and discussed the problem of high thermal conductivity.
为了研究高导热材料的可能性,制备了由大晶粒AlN填料和团聚BN填料组成的复合树脂片材,并对其导热性能进行了评价。与BN填料结合,证实了AlN填料比Al2O3填料的优越性。研究了AlN填料的粒径、掺量和板料成形条件的影响,讨论了高导热性问题。
{"title":"High thermal conductivity composite resin sheet filled with large diameter aluminum nitride and aggregated boron nitride","authors":"I. Masada, S. Fujii, S. Imazumi, K. Fujinami, Y. Kanechika, T. Nawata, M. Ueda","doi":"10.23919/ICEP.2019.8733583","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733583","url":null,"abstract":"In order to investigate the possibility of high thermal conductivity material, composite resin sheets combining large grain size AlN filler and agglomerated BN filler were prepared and heat conductivity characteristics were evaluated. In combination with BN filler, the superiority of AlN filler to Al2O3 filler was confirmed. We investigated the influence of particle size and blending amount of AlN filler and sheet forming conditions and discussed the problem of high thermal conductivity.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123500674","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Thermo-Mechanical Process Emulation and Sensitivity Analysis of Wafer Warpage after Reconstitution in Fan-out Packaging 扇形封装中晶圆重构后翘曲的热-机械过程仿真及灵敏度分析
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733604
Cheng-Ying Yang, Kuo-Shen Chen, Tian-Shian g Yang, T. Chiu, Ching-Jenq Ho
Wafer reconstitution fan-out is a vital process for serving as a buffer to decouple the processing developments between IC fabrication and electronics packaging. By this approach, the IC packaging is then independent from the chip processing. However, such a process brings numerous mechanical loadings during molding and curing phases. In this work, it is desired to examine the key factor of reconstituted wafer warpage by performing solid thermo-mechanical analyses. To have a deeper insight, simplified 2D and detailed 3D finite element analyses have been constructed to mimic the entire Recon process. Detail thermo-mechanical processing steps are then emulated by these finite element models. After model validation, systematic parametric studies are then performed to investigate the controlling factors for dominating the wafer warpage. The simulation results indicated that the thermal expansion coefficients and the Young’s modulus of molding compounds could be the dominated factor. By choosing compounds with more desirable above-mentioned mechanical properties, it is expected that a 20 to 30 percentage reduction of warpage can be achieved.
晶圆重构扇出是一个至关重要的过程,作为缓冲,以分离IC制造和电子封装之间的加工发展。通过这种方法,IC封装就独立于芯片处理。然而,这种工艺在成型和固化阶段带来了大量的机械负荷。在这项工作中,希望通过进行固体热力学分析来检查重构晶圆翘曲的关键因素。为了获得更深入的了解,我们构建了简化的2D和详细的3D有限元分析来模拟整个Recon过程。然后用这些有限元模型模拟详细的热机械加工步骤。模型验证后,系统的参数研究,然后进行调查控制因素主导晶圆翘曲。模拟结果表明,复合材料的热膨胀系数和杨氏模量是影响成形性能的主要因素。通过选择具有更理想的上述机械性能的化合物,预计可以实现20%至30%的翘曲减少。
{"title":"Thermo-Mechanical Process Emulation and Sensitivity Analysis of Wafer Warpage after Reconstitution in Fan-out Packaging","authors":"Cheng-Ying Yang, Kuo-Shen Chen, Tian-Shian g Yang, T. Chiu, Ching-Jenq Ho","doi":"10.23919/ICEP.2019.8733604","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733604","url":null,"abstract":"Wafer reconstitution fan-out is a vital process for serving as a buffer to decouple the processing developments between IC fabrication and electronics packaging. By this approach, the IC packaging is then independent from the chip processing. However, such a process brings numerous mechanical loadings during molding and curing phases. In this work, it is desired to examine the key factor of reconstituted wafer warpage by performing solid thermo-mechanical analyses. To have a deeper insight, simplified 2D and detailed 3D finite element analyses have been constructed to mimic the entire Recon process. Detail thermo-mechanical processing steps are then emulated by these finite element models. After model validation, systematic parametric studies are then performed to investigate the controlling factors for dominating the wafer warpage. The simulation results indicated that the thermal expansion coefficients and the Young’s modulus of molding compounds could be the dominated factor. By choosing compounds with more desirable above-mentioned mechanical properties, it is expected that a 20 to 30 percentage reduction of warpage can be achieved.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129498561","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
New Adhesive Design and Evaluation for Bumpless Interconnects and Wafer-On-Wafer (WOW) Integration 用于无碰撞互连和晶圆对晶圆集成的新型胶粘剂设计与评价
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733438
S. Maetani, N. Araki, Y. Kim, S. Kodama, T. Ohba
The material viscosities of permanent and temporary adhesives were examined using the rigid body pendulum method to reveal a suitable for the wafer bonding process at 100 °C. Properties suited for the debonding process The adhesive is applied to wafer-on-wafer (WOW) stacking with bumpless dual-damascene interconnect (via-last after bonding) technology, which enables multilevel stacking using ultra-thin wafers of several micrometers or less at low cost.
采用刚体摆法测试了永久和临时胶粘剂的材料粘度,以确定适合于100℃下晶圆键合工艺的胶粘剂。该胶粘剂应用于晶圆对晶圆(WOW)堆叠,采用无凹凸双damascene互连(粘接后的via-last)技术,可以使用几微米或更小的超薄晶圆以低成本进行多层堆叠。
{"title":"New Adhesive Design and Evaluation for Bumpless Interconnects and Wafer-On-Wafer (WOW) Integration","authors":"S. Maetani, N. Araki, Y. Kim, S. Kodama, T. Ohba","doi":"10.23919/ICEP.2019.8733438","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733438","url":null,"abstract":"The material viscosities of permanent and temporary adhesives were examined using the rigid body pendulum method to reveal a suitable for the wafer bonding process at 100 °C. Properties suited for the debonding process The adhesive is applied to wafer-on-wafer (WOW) stacking with bumpless dual-damascene interconnect (via-last after bonding) technology, which enables multilevel stacking using ultra-thin wafers of several micrometers or less at low cost.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126105681","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Novel Silver-seed Semi-Additive Process for High Quality Circuit Formation 新型银籽半添加工艺制备高质量电路
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733443
Norimasa Fukazawa, Akira Murakawa, Wataru Fujikawa, Jun Shirakami
We propose a novel silver-seed semi-additive process (S-SAP) for fabricating electrical circuit of high quality. Thin coated silver layer is used as a conductive seed for plating and is easily removed by selective etching without defects of plated copper wirings. In this system, it was found that the reproducibility of the designed circuit design and the rectangular shape of the wiring are maintained. Therefore, this process has great advantages against the conventional MSAP utilizing copper seed. Here, we will report the results of demonstration of electrical circuit fabrication by silver-seed SAP and discuss the difference between the new process (S-SAP) and conventional Cu-MSAP.
我们提出了一种新的银籽半添加工艺(S-SAP),用于制造高质量的电路。薄镀银层用作电镀的导电种子,通过选择性蚀刻很容易去除,没有镀铜线的缺陷。结果表明,该系统保持了电路设计的可重复性和线路的矩形形状。因此,与传统的铜种子MSAP相比,该工艺具有很大的优势。在这里,我们将报告用银籽SAP制造电路的演示结果,并讨论新工艺(S-SAP)与传统Cu-MSAP的区别。
{"title":"Novel Silver-seed Semi-Additive Process for High Quality Circuit Formation","authors":"Norimasa Fukazawa, Akira Murakawa, Wataru Fujikawa, Jun Shirakami","doi":"10.23919/ICEP.2019.8733443","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733443","url":null,"abstract":"We propose a novel silver-seed semi-additive process (S-SAP) for fabricating electrical circuit of high quality. Thin coated silver layer is used as a conductive seed for plating and is easily removed by selective etching without defects of plated copper wirings. In this system, it was found that the reproducibility of the designed circuit design and the rectangular shape of the wiring are maintained. Therefore, this process has great advantages against the conventional MSAP utilizing copper seed. Here, we will report the results of demonstration of electrical circuit fabrication by silver-seed SAP and discuss the difference between the new process (S-SAP) and conventional Cu-MSAP.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122002193","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Effect Analysis of Application of Energy Band Gap to Electrostatic Discharge Protection 带隙在静电放电保护中的应用效果分析
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733475
Hong-Yin Hsieh, Jheng-Yuan Ruan, Min-Jun Guo, Wei-chiao Wang, Sheng-Wei Guan, S. Wu
With the advancement of technology and the electronics is getting smaller and smaller, the probability of being affected by electrostatic discharge (ESD) has also increased. The failure and destruction of electronic products caused by high voltage input, which was because of being touched by human or the machine during the processes of production or transportation, make lifetime of products decrease greatly and cost of production increase. Consequently, the design of electrostatic discharge protection circuit for system-level circuit is getting more and more important. The main purpose of this paper is to analyze the effect of the application of energy band gap (EBG) to electrostatic discharge protection.
随着科技的进步,电子产品越来越小,受到静电放电(ESD)影响的可能性也越来越大。电子产品在生产或运输过程中,由于人或机器接触到高压输入而引起的故障和破坏,使产品的使用寿命大大降低,生产成本增加。因此,系统级电路的静电放电保护电路的设计变得越来越重要。本文的主要目的是分析应用能带隙(EBG)对静电放电保护的影响。
{"title":"Effect Analysis of Application of Energy Band Gap to Electrostatic Discharge Protection","authors":"Hong-Yin Hsieh, Jheng-Yuan Ruan, Min-Jun Guo, Wei-chiao Wang, Sheng-Wei Guan, S. Wu","doi":"10.23919/ICEP.2019.8733475","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733475","url":null,"abstract":"With the advancement of technology and the electronics is getting smaller and smaller, the probability of being affected by electrostatic discharge (ESD) has also increased. The failure and destruction of electronic products caused by high voltage input, which was because of being touched by human or the machine during the processes of production or transportation, make lifetime of products decrease greatly and cost of production increase. Consequently, the design of electrostatic discharge protection circuit for system-level circuit is getting more and more important. The main purpose of this paper is to analyze the effect of the application of energy band gap (EBG) to electrostatic discharge protection.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131894374","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Result of high accelerated stress test of organic substrate made by integrated dry process 综合干法制备有机衬底高加速应力试验结果
Pub Date : 2018-10-01 DOI: 10.4071/2380-4505-2018.1.000153
S. Endo, Shintaro Yabu, Tomoyuki Habu
The uses of the semiconductor increase by the development of Internet of Things. Miniaturization of the semiconductor wiring to bring speedup, electric power saving advances, and various technologies are developed. The new technology that applied a semiconductor production technology is waited eagerly for the production of the printed circuit board and semiconductor packaging. We presented new dry process "Integrated dry process" using Photodesmear technology and sputter seed process in IMAPS2016 Pasadena. After the micro via formation with the laser, the smear is effective to remove a smear remaining behind in the via bottom by Photodesmear. Furthermore, we improved adhesion between copper metal and epoxy resin in a sputtering seed together. We made the large experimental tool that Photodesmear could process an actually size of the print circuit board by static irradiation. And we proved that handling of panel size was technically possible. The connection reliability of the contact via is evaluated after electric copper plating by quick via peel examination. I made a cross section sample of the via and, about the smear removal properties of wet desmear processing and the Photodesmear processing, was compared with the residual smear and the oxidation thin layer by the observation of the connection interface. The interfacial surface state after the desmear processing was analyzed in X-ray probe analyzer. We produced the test vehicle using Photodesmear technology and a sputtering seed technology. We compared it with the same pattern sample produced by a process conventionally. In this paper, we report the result of the high accelerated temperature and humidity stress test.
随着物联网的发展,半导体的应用也在不断增加。半导体布线的小型化带来了加速、电力节约的进步,并开发了各种技术。应用半导体生产技术的新技术在印刷电路板和半导体封装的生产上备受期待。我们在IMAPS2016 Pasadena上展示了利用Photodesmear技术和溅射种子技术的新型干法“集成干法”。在激光形成微孔后,涂片可以有效地去除残留在孔底部的污迹。此外,我们还改善了溅射种子中铜金属与环氧树脂之间的附着力。我们制作了大型实验工具,Photodesmear可以通过静态辐照处理实际尺寸的印刷电路板。我们证明了控制面板尺寸在技术上是可行的。电镀铜后,采用快速通孔剥离法评价接触通孔的连接可靠性。我制作了通孔的横截面样品,通过对连接界面的观察,比较了湿式涂膜处理和光式涂膜处理的去污性能,并与残余涂膜和氧化薄层进行了比较。用x射线探针分析仪分析了涂膜处理后的界面表面状态。我们使用photodesear技术和溅射种子技术生产了测试车辆。我们将其与常规工艺生产的相同图案样品进行了比较。在本文中,我们报告了高加速温湿度应力试验的结果。
{"title":"Result of high accelerated stress test of organic substrate made by integrated dry process","authors":"S. Endo, Shintaro Yabu, Tomoyuki Habu","doi":"10.4071/2380-4505-2018.1.000153","DOIUrl":"https://doi.org/10.4071/2380-4505-2018.1.000153","url":null,"abstract":"The uses of the semiconductor increase by the development of Internet of Things. Miniaturization of the semiconductor wiring to bring speedup, electric power saving advances, and various technologies are developed. The new technology that applied a semiconductor production technology is waited eagerly for the production of the printed circuit board and semiconductor packaging. We presented new dry process \"Integrated dry process\" using Photodesmear technology and sputter seed process in IMAPS2016 Pasadena. After the micro via formation with the laser, the smear is effective to remove a smear remaining behind in the via bottom by Photodesmear. Furthermore, we improved adhesion between copper metal and epoxy resin in a sputtering seed together. We made the large experimental tool that Photodesmear could process an actually size of the print circuit board by static irradiation. And we proved that handling of panel size was technically possible. The connection reliability of the contact via is evaluated after electric copper plating by quick via peel examination. I made a cross section sample of the via and, about the smear removal properties of wet desmear processing and the Photodesmear processing, was compared with the residual smear and the oxidation thin layer by the observation of the connection interface. The interfacial surface state after the desmear processing was analyzed in X-ray probe analyzer. We produced the test vehicle using Photodesmear technology and a sputtering seed technology. We compared it with the same pattern sample produced by a process conventionally. In this paper, we report the result of the high accelerated temperature and humidity stress test.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2018-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128637507","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
What Happens To Low TCE Copper With Annealing 低TCE铜退火后会发生什么
Pub Date : 2018-07-20 DOI: 10.1149/08608.0023ECST
K. Kondo
Since the Copper resistivity is second lowest next to the Silver, the Copper electrodeposits have been very intensively used in the wiring for the semiconductor and the printed circuit board(PCB). The Copper problem is the thermal coefficient of expansion(TCE). The Silicon is 2x10−6(1/K) and the copper is 18x10−6. If the composite of the Silicon and the Copper(semiconductor and PCB), warpage, protrusion or delamination occurs. Warpage, protrusion or delamination resolution are reported for the cases of Through Silicon Via(TSV), Glass interposer, Insulated Gate Bipolar Transistor(IGBT), Coreless Printed Circuit board(PCB) and IGBT packaging
由于铜的电阻率是仅次于银的第二低的,所以铜镀层在半导体和印刷电路板(PCB)的布线中得到了非常广泛的应用。铜的问题是热膨胀系数(TCE)。硅为2x10−6(1/K),铜为18x10−6。如果硅和铜的复合材料(半导体和PCB)发生翘曲,突出或分层。翘曲,突出或分层分辨率的情况下,通过硅通孔(TSV),玻璃中间层,绝缘栅双极晶体管(IGBT),无芯印刷电路板(PCB)和IGBT封装
{"title":"What Happens To Low TCE Copper With Annealing","authors":"K. Kondo","doi":"10.1149/08608.0023ECST","DOIUrl":"https://doi.org/10.1149/08608.0023ECST","url":null,"abstract":"Since the Copper resistivity is second lowest next to the Silver, the Copper electrodeposits have been very intensively used in the wiring for the semiconductor and the printed circuit board(PCB). The Copper problem is the thermal coefficient of expansion(TCE). The Silicon is 2x10−6(1/K) and the copper is 18x10−6. If the composite of the Silicon and the Copper(semiconductor and PCB), warpage, protrusion or delamination occurs. Warpage, protrusion or delamination resolution are reported for the cases of Through Silicon Via(TSV), Glass interposer, Insulated Gate Bipolar Transistor(IGBT), Coreless Printed Circuit board(PCB) and IGBT packaging","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2018-07-20","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124276758","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Bonding of Copper Pillars Using Electroless Cu Plating 化学镀铜对铜柱的键合
Pub Date : 2016-04-20 DOI: 10.23919/ICEP.2019.8733511
I. Weng, H. Hung, Szu-Chi Yang, Y. H. Chen, C. Kao
Nowadays, many research groups are developing low-temperature and pressureless copper-to-copper interconnection bonding methods. Among them, controlled flow electroless nickel plating, which utilizes the feature of autocatalysis of electroless plating to join copper pillars, is the most promising one [1]. However, for high frequency application, nickel is a ferromagnetic material and would trigger skin effect seriously, which could greatly reduce the effective area for signal to pass through. To deal with this issue, other electroless plating materials needs to be investigated.Among them, copper is a suitable material for interconnection due to its excellent electrical conductivity. Previously, the chip-to-substrate all-copper connections were formed by joining the two pillars with electroless copper plating followed by an annealing process at 180°C [2]. Although the anneal process could enhance the bond strength up to 148MPa, it contradicts the advantage of electroless plating process, which is a low temperature process. In this research, to avoid the post annealing process, we conducted the electroless copper plating under controlled flow to fabricate the interconnection. All the process temperature in our research is below 50°C. The bonding result is very well without any extraneous deposition.
目前,许多研究小组正在开发低温无压铜对铜互连键合方法。其中,利用化学镀的自催化特性连接铜柱的可控流量化学镀镍是最有前途的一种方法[1]。但在高频应用中,镍是铁磁性材料,会严重引发趋肤效应,使信号的有效通过面积大大减小。为了解决这个问题,需要研究其他化学镀材料。其中,铜具有优良的导电性,是一种适合互连的材料。以前,芯片到衬底的全铜连接是通过化学镀铜连接两根柱子,然后在180°C下退火形成的[2]。虽然退火工艺可以将结合强度提高到148MPa,但这与化学镀工艺的低温优势相矛盾。在本研究中,为了避免后退火过程,我们在可控流量下进行化学镀铜来制作互连。在我们的研究中,所有的工艺温度都在50℃以下。结合效果良好,无异物沉积。
{"title":"Bonding of Copper Pillars Using Electroless Cu Plating","authors":"I. Weng, H. Hung, Szu-Chi Yang, Y. H. Chen, C. Kao","doi":"10.23919/ICEP.2019.8733511","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733511","url":null,"abstract":"Nowadays, many research groups are developing low-temperature and pressureless copper-to-copper interconnection bonding methods. Among them, controlled flow electroless nickel plating, which utilizes the feature of autocatalysis of electroless plating to join copper pillars, is the most promising one [1]. However, for high frequency application, nickel is a ferromagnetic material and would trigger skin effect seriously, which could greatly reduce the effective area for signal to pass through. To deal with this issue, other electroless plating materials needs to be investigated.Among them, copper is a suitable material for interconnection due to its excellent electrical conductivity. Previously, the chip-to-substrate all-copper connections were formed by joining the two pillars with electroless copper plating followed by an annealing process at 180°C [2]. Although the anneal process could enhance the bond strength up to 148MPa, it contradicts the advantage of electroless plating process, which is a low temperature process. In this research, to avoid the post annealing process, we conducted the electroless copper plating under controlled flow to fabricate the interconnection. All the process temperature in our research is below 50°C. The bonding result is very well without any extraneous deposition.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-04-20","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"120837979","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
期刊
2019 International Conference on Electronics Packaging (ICEP)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1