首页 > 最新文献

52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)最新文献

英文 中文
Isothermal low cycle fatigue tests of Sn/3.5Ag/0.75Cu and 63Sn/37Pb solder joints under mixed-mode loading cases 混合模式加载下Sn/3.5Ag/0.75Cu和63Sn/37Pb焊点的等温低周疲劳试验
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008220
T. Park, Soon-Bok Lee
To give a proper and accurate estimation of the fatigue life of solder joints, a mechanical fatigue test method under mixed-mode loading is proposed. The loading phase is controlled by the angle of loading direction. Experiments are conducted with 63Sn/37Pb and Sn/3.5Ag/0.75Cu solder joints. The isothermal mechanical low cycle fatigue tests were performed under several loading phases. Constant displacement controlled tests are performed using a micromechanical test apparatus. Failure patterns of the fatigue tests are observed and discussed. Morrow energy model was examined and found to be a proper low cycle fatigue model for solder joints.
为了合理准确地估计焊点的疲劳寿命,提出了一种混合模式载荷下的机械疲劳试验方法。加载相位由加载方向角度控制。用63Sn/37Pb和Sn/3.5Ag/0.75Cu焊点进行了实验。进行了不同加载阶段的等温机械低周疲劳试验。恒位移控制试验采用微力学试验装置进行。对疲劳试验的失效模式进行了观察和讨论。通过对Morrow能量模型的检验,发现该模型是适用于焊点的低周疲劳模型。
{"title":"Isothermal low cycle fatigue tests of Sn/3.5Ag/0.75Cu and 63Sn/37Pb solder joints under mixed-mode loading cases","authors":"T. Park, Soon-Bok Lee","doi":"10.1109/ECTC.2002.1008220","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008220","url":null,"abstract":"To give a proper and accurate estimation of the fatigue life of solder joints, a mechanical fatigue test method under mixed-mode loading is proposed. The loading phase is controlled by the angle of loading direction. Experiments are conducted with 63Sn/37Pb and Sn/3.5Ag/0.75Cu solder joints. The isothermal mechanical low cycle fatigue tests were performed under several loading phases. Constant displacement controlled tests are performed using a micromechanical test apparatus. Failure patterns of the fatigue tests are observed and discussed. Morrow energy model was examined and found to be a proper low cycle fatigue model for solder joints.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134318509","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
A new high density organic laminate for high pin-count flip chip packages 用于高引脚数倒装芯片封装的新型高密度有机层压板
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008083
S. Takami, M. Hori, M. Arikawa, T. Matsuoka, Y. Hiramatsu, Y. Iwata, Masaharu Hotehama, K. Hayashi
By applying 'simultaneous curing' substrates for core substrates, we developed an ultra high transmission speed build up substrate (called Super HDBU/spl reg/) which is applicable for flip chip packages with over 3,000 I/O. Most build up interconnected substrates have been using a PWB with plated through holes (PTH) as the core substrate. Considering the form density of interconnects and transmission speed, this core with PTH has become a barrier by dividing the top and bottom surface of the substrate. Also, design interconnection lines are limited to the top side of the build up substrate which mounts the LSI devices. As for the solution to these issues, we have developed a new process of simultaneous curing with a copper foil transfer method onto an uncured prepreg. The simultaneous curing substrate method makes it possible to design signal interconnection lines on build up layers on both the top and bottom surfaces of the core substrate. In addition, it provides much finer via and narrower via pitch design on the core substrate which enables full grid area design instead of peripheral design.
通过将“同步固化”基板应用于核心基板,我们开发了一种超高传输速度的基板(称为Super HDBU/spl reg/),适用于具有超过3,000个I/O的倒装芯片封装。大多数构建互连基板一直使用镀通孔(PTH)作为核心基板的PWB。考虑到互连的形式密度和传输速度,这种带PTH的芯通过划分基板的上下表面而成为屏障。此外,设计互连线仅限于安装LSI器件的构建基板的顶部。为了解决这些问题,我们开发了一种新的方法,即在未固化的预浸料上使用铜箔转移方法同时固化。同时固化基板方法使得在核心基板的顶部和底部表面的构建层上设计信号互连线成为可能。此外,它在核心基板上提供了更细的通孔和更窄的通孔间距设计,从而实现了全网格区域设计,而不是外围设计。
{"title":"A new high density organic laminate for high pin-count flip chip packages","authors":"S. Takami, M. Hori, M. Arikawa, T. Matsuoka, Y. Hiramatsu, Y. Iwata, Masaharu Hotehama, K. Hayashi","doi":"10.1109/ECTC.2002.1008083","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008083","url":null,"abstract":"By applying 'simultaneous curing' substrates for core substrates, we developed an ultra high transmission speed build up substrate (called Super HDBU/spl reg/) which is applicable for flip chip packages with over 3,000 I/O. Most build up interconnected substrates have been using a PWB with plated through holes (PTH) as the core substrate. Considering the form density of interconnects and transmission speed, this core with PTH has become a barrier by dividing the top and bottom surface of the substrate. Also, design interconnection lines are limited to the top side of the build up substrate which mounts the LSI devices. As for the solution to these issues, we have developed a new process of simultaneous curing with a copper foil transfer method onto an uncured prepreg. The simultaneous curing substrate method makes it possible to design signal interconnection lines on build up layers on both the top and bottom surfaces of the core substrate. In addition, it provides much finer via and narrower via pitch design on the core substrate which enables full grid area design instead of peripheral design.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127587737","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Warpage measurement comparison using shadow moire and projection moire methods 使用阴影云纹和投影云纹方法进行翘曲测量比较
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008092
Hai Ding, R. E. Powell, C. R. Hanna, I. C. Ume
Microelectronic and photonic packaging are progressing toward integrating more devices with more functions into a smaller confined space, while requiring higher yield and superior reliability. New electronic components, materials, fabrication processes, and configurations are emerging to achieve these goals. As expected, surface flatness is playing a more crucial role in integrated circuits and integrated optics manufacturing. Out-of-plane displacement (warpage) is a global effect of interfacial stress and displacement. It is also the cause of mis-registration and non-contact between components and their substrates. Moire methods offer noncontact, full-field, high-resolution approaches for measuring warpage. In this paper, two types of moire methods are introduced and analyzed. They carry distinct features and grant more options to measure warpage under various scenarios. It has been shown through system analysis and experimental results that these systems are powerful tools for studying warpage mechanisms. Specifically, they can help to investigate the effects of materials, manufacturing processes, and packaging configurations on warpage.
微电子和光子封装正朝着在更小的密闭空间内集成更多具有更多功能的器件的方向发展,同时要求更高的产量和卓越的可靠性。为了实现这些目标,新的电子元件、材料、制造工艺和配置正在出现。正如预期的那样,表面平整度在集成电路和集成光学制造中起着越来越重要的作用。面外位移(翘曲)是界面应力和位移的整体效应。这也是元件与其基板之间的误配和非接触的原因。云纹法为测量翘曲提供了非接触、全场、高分辨率的方法。本文介绍并分析了两种类型的云纹法。它们具有独特的功能,并提供更多选项来测量各种场景下的翘曲。系统分析和实验结果表明,这些系统是研究翘曲机理的有力工具。具体来说,它们可以帮助研究材料、制造工艺和包装配置对翘曲的影响。
{"title":"Warpage measurement comparison using shadow moire and projection moire methods","authors":"Hai Ding, R. E. Powell, C. R. Hanna, I. C. Ume","doi":"10.1109/ECTC.2002.1008092","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008092","url":null,"abstract":"Microelectronic and photonic packaging are progressing toward integrating more devices with more functions into a smaller confined space, while requiring higher yield and superior reliability. New electronic components, materials, fabrication processes, and configurations are emerging to achieve these goals. As expected, surface flatness is playing a more crucial role in integrated circuits and integrated optics manufacturing. Out-of-plane displacement (warpage) is a global effect of interfacial stress and displacement. It is also the cause of mis-registration and non-contact between components and their substrates. Moire methods offer noncontact, full-field, high-resolution approaches for measuring warpage. In this paper, two types of moire methods are introduced and analyzed. They carry distinct features and grant more options to measure warpage under various scenarios. It has been shown through system analysis and experimental results that these systems are powerful tools for studying warpage mechanisms. Specifically, they can help to investigate the effects of materials, manufacturing processes, and packaging configurations on warpage.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133059782","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 110
Electronics packaging - a Web-based course for the IEEE community 电子封装-一个基于网络的课程,为IEEE社区
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008307
M. Caggiano, K. M. Ho
The authors profile a course that is a Web-based enhancement of a new graduate. course entitled "Electronics Packaging" that was developed and introduced into the ECE Department at Rutgers University during the spring of 2001. This new course deals with the electrical characterization and modeling of the parasitics for integrated circuit packaging. The course is targeted to the electrical engineering graduate population of full-time students and industry part-time graduate students that have a background in circuit analysis. The authors discuss the status of the course and demonstrate a sample of the Web designed lectures related to the electrical parasitics of the package.
作者介绍了一门课程,这是一个基于网络的增强新毕业生。名为“电子封装”的课程,该课程于2001年春季开发并引入罗格斯大学ECE系。本课程介绍集成电路封装中寄生器件的电学特性和建模。本课程的目标是电气工程专业的全日制学生和有电路分析背景的工业兼职研究生。作者讨论了这门课程的现状,并展示了一个与包的电寄生有关的Web设计讲座的示例。
{"title":"Electronics packaging - a Web-based course for the IEEE community","authors":"M. Caggiano, K. M. Ho","doi":"10.1109/ECTC.2002.1008307","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008307","url":null,"abstract":"The authors profile a course that is a Web-based enhancement of a new graduate. course entitled \"Electronics Packaging\" that was developed and introduced into the ECE Department at Rutgers University during the spring of 2001. This new course deals with the electrical characterization and modeling of the parasitics for integrated circuit packaging. The course is targeted to the electrical engineering graduate population of full-time students and industry part-time graduate students that have a background in circuit analysis. The authors discuss the status of the course and demonstrate a sample of the Web designed lectures related to the electrical parasitics of the package.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124558458","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Double-layer no-flow underfill materials and process 双层无流底填材料及工艺
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008128
Zhuqing Zhang, C. Wong
No-flow underfill has been invented and practised in the industry for a few years. However, due to the interfering of silica fillers with solder joint formation, most no-flow underfills are not filled with silica fillers and hence have a high coefficient of thermal expansion (CTE), which is undesirable for high reliability. In a novel invention, a double-layer no-flow underfill is implemented to the flip-chip process and allows fillers to be incorporated into the no-flow underfill. The effects of bottom layer underfill thickness, bottom layer underfill viscosity, and reflow profile on the solder wetting properties are investigated in a design of experiment (DOE) using quartz chips. It is found that the thickness and viscosity of the bottom layer underfill are essential to the wetting of the solder bumps. CSP components are assembled using the double-layer no-flow underfill process. Silica fillers of different sizes and weight percentages are incorporated into the upper layer underfill. With high viscosity bottom layer underfill, up to 40 wt% fillers can be added into the upper layer underfill and do not interfere with solder joint formation.
无流底填料在工业上已经被发明和实践了几年。然而,由于二氧化硅填充物对焊点形成的干扰,大多数无流底填充物没有填充二氧化硅填充物,因此具有高热膨胀系数(CTE),这是高可靠性所不希望的。在一项新发明中,对倒装工艺实施双层无流底填料,并允许将填料并入所述无流底填料中。通过石英片实验设计,研究了衬底厚度、衬底粘度和回流曲线对钎料润湿性能的影响。研究发现,底层底填料的厚度和粘度对钎料凸起的润湿至关重要。CSP组件采用双层无流底填工艺组装。在上层底填料中掺入不同尺寸和重量百分比的二氧化硅填料。具有高粘度的底层底填料,高达40 wt%的填料可以添加到上层底填料中,并且不会干扰焊点的形成。
{"title":"Double-layer no-flow underfill materials and process","authors":"Zhuqing Zhang, C. Wong","doi":"10.1109/ECTC.2002.1008128","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008128","url":null,"abstract":"No-flow underfill has been invented and practised in the industry for a few years. However, due to the interfering of silica fillers with solder joint formation, most no-flow underfills are not filled with silica fillers and hence have a high coefficient of thermal expansion (CTE), which is undesirable for high reliability. In a novel invention, a double-layer no-flow underfill is implemented to the flip-chip process and allows fillers to be incorporated into the no-flow underfill. The effects of bottom layer underfill thickness, bottom layer underfill viscosity, and reflow profile on the solder wetting properties are investigated in a design of experiment (DOE) using quartz chips. It is found that the thickness and viscosity of the bottom layer underfill are essential to the wetting of the solder bumps. CSP components are assembled using the double-layer no-flow underfill process. Silica fillers of different sizes and weight percentages are incorporated into the upper layer underfill. With high viscosity bottom layer underfill, up to 40 wt% fillers can be added into the upper layer underfill and do not interfere with solder joint formation.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116989050","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
The progress of the ALIVH substrate ALIVH基板的研究进展
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008292
D. Andoh, Y. Tomita, T. Nakamura, F. Echigo
The next generation ALIVH substrates were developed named ALIVH G-type for the motherboard use and ALIVH-FB for semiconductor package use. The ALIVH G-type has lower moisture absorption and higher rigidity than the conventional ALIVH. The insulator material of conventional ALIVH is a non-woven aramid-epoxy prepreg. On the other hand, the ALIVH G-type uses glass-epoxy prepreg. We developed the resin flow control technology during the hot press lamination process for hindering the conductive particle in the via paste diffusion. We expect to realize the halogen free ALIVH and liberate the ALIVH from the moisture control, using the glass-epoxy prepreg. The ALIVH-FB has the same structure as the ALIVH. The design rule is minimised for the semiconductor package. The design rule of ALIVH-FB is Line/Space=25/25 /spl mu/m and Via Diameter/Land Diameter=50/150 /spl mu/m. The ALIVH-FB uses three new technologies of: (1) film insulator; (2) YAG THG laser drilling process; and (3) accurate alignment process. The ALIVH-FB is very suitable for semiconductor package use by the fine via on via structure and the properties of the film insulator.
下一代ALIVH基板被命名为ALIVH g型用于主板,ALIVH- fb用于半导体封装。与传统ALIVH相比,ALIVH g型吸湿性更低,刚性更高。传统ALIVH的绝缘子材料为无纺布芳纶-环氧预浸料。另一方面,ALIVH g型使用玻璃环氧预浸料。研究了热压复合过程中的树脂流动控制技术,以阻止导电颗粒在通过浆料中的扩散。我们期望利用玻璃-环氧预浸料实现无卤素ALIVH,并将ALIVH从水分控制中解放出来。ALIVH- fb具有与ALIVH相同的结构。对于半导体封装,设计规则是最小化的。ALIVH-FB的设计原则是线/间距=25/25 /亩/米,通径/地径=50/150 /亩/米。ALIVH-FB采用了三种新技术:(1)薄膜绝缘体;(2) YAG THG激光打孔工艺;(3)精确的对准工艺。ALIVH-FB由于其优良的通孔结构和薄膜绝缘体的性能,非常适合半导体封装使用。
{"title":"The progress of the ALIVH substrate","authors":"D. Andoh, Y. Tomita, T. Nakamura, F. Echigo","doi":"10.1109/ECTC.2002.1008292","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008292","url":null,"abstract":"The next generation ALIVH substrates were developed named ALIVH G-type for the motherboard use and ALIVH-FB for semiconductor package use. The ALIVH G-type has lower moisture absorption and higher rigidity than the conventional ALIVH. The insulator material of conventional ALIVH is a non-woven aramid-epoxy prepreg. On the other hand, the ALIVH G-type uses glass-epoxy prepreg. We developed the resin flow control technology during the hot press lamination process for hindering the conductive particle in the via paste diffusion. We expect to realize the halogen free ALIVH and liberate the ALIVH from the moisture control, using the glass-epoxy prepreg. The ALIVH-FB has the same structure as the ALIVH. The design rule is minimised for the semiconductor package. The design rule of ALIVH-FB is Line/Space=25/25 /spl mu/m and Via Diameter/Land Diameter=50/150 /spl mu/m. The ALIVH-FB uses three new technologies of: (1) film insulator; (2) YAG THG laser drilling process; and (3) accurate alignment process. The ALIVH-FB is very suitable for semiconductor package use by the fine via on via structure and the properties of the film insulator.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"117303614","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
The effect of via size on fine pitch and high density solder bumps for wafer level packaging 晶圆级封装中通孔尺寸对细间距和高密度焊料凸点的影响
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008255
Chul-Won Ju, S. Kim, Kyu-Ha Pack, H. Lee, Young-Chul Hyun, Seong-Su Park
This study investigated how the shapes of high density electroplated bump and reflowed bumps depend on via size. The solder bump was fabricated by subsequent processes as follows. After sputtering a Ti/Cu seed layer on a 5-inch Si-wafer, a thick photoresist for via formation was obtained by multi-coating, and vias with various diameters were defined by a conventional photolithography technique using a contact aligner with an I-line source. After via formation, eutectic solder bumps were electroplated. After reflow, the reflowed bump diameters at the bottom were unchanged compared with the electroplated diameters. The electroplated bump and reflowed bump shapes, however, depended significantly on the via size. The heights of the electroplated bumps and reflowed bumps increased with a larger via, while the aspect ratio of bumps decreased. To obtain high density bumps, the bump pitch was decreased so that the nearest bumps touched. The touching between the nearest bumps occurred during the over-plating procedure but not during the reflowing procedure because the mushroom diameter formed by over-plating was larger than the reflowed bump diameter. This study demonstrated that an arrangement in zig-zag rows is effective in realizing flip chip interconnect bumps with both a high density and high aspect ratio.
本文研究了高密度电镀凸点和回流凸点的形状与通孔尺寸的关系。焊料凸包的制作工艺如下:在5英寸硅晶片上溅射Ti/Cu种子层后,通过多层涂层获得了厚的光刻胶,并使用带有i线源的接触对准器通过传统光刻技术定义了不同直径的通孔。通孔形成后,电镀共晶焊点。回流后的底部凸起直径与电镀后的直径没有变化。然而,电镀凸点和回流凸点的形状很大程度上取决于通孔尺寸。电镀凸点和回流凸点的高度随通孔的增大而增大,凸点的纵横比减小。为了获得高密度的凸点,减小凸点间距,使最近的凸点接触。最近凸起之间的接触发生在复镀过程中,而不是在回流过程中,因为复镀形成的蘑菇直径大于回流凸起的直径。该研究表明,锯齿形排列排列是实现高密度和高纵横比倒装芯片互连凸点的有效方法。
{"title":"The effect of via size on fine pitch and high density solder bumps for wafer level packaging","authors":"Chul-Won Ju, S. Kim, Kyu-Ha Pack, H. Lee, Young-Chul Hyun, Seong-Su Park","doi":"10.1109/ECTC.2002.1008255","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008255","url":null,"abstract":"This study investigated how the shapes of high density electroplated bump and reflowed bumps depend on via size. The solder bump was fabricated by subsequent processes as follows. After sputtering a Ti/Cu seed layer on a 5-inch Si-wafer, a thick photoresist for via formation was obtained by multi-coating, and vias with various diameters were defined by a conventional photolithography technique using a contact aligner with an I-line source. After via formation, eutectic solder bumps were electroplated. After reflow, the reflowed bump diameters at the bottom were unchanged compared with the electroplated diameters. The electroplated bump and reflowed bump shapes, however, depended significantly on the via size. The heights of the electroplated bumps and reflowed bumps increased with a larger via, while the aspect ratio of bumps decreased. To obtain high density bumps, the bump pitch was decreased so that the nearest bumps touched. The touching between the nearest bumps occurred during the over-plating procedure but not during the reflowing procedure because the mushroom diameter formed by over-plating was larger than the reflowed bump diameter. This study demonstrated that an arrangement in zig-zag rows is effective in realizing flip chip interconnect bumps with both a high density and high aspect ratio.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123210022","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
A low cost COTS-based microwave packaging methodology 一种低成本的基于cots的微波封装方法
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008238
W. Kritzler, P. Bronecke, P. Kraft, G. Yan
Lockheed Martin Naval Electronics & Surveillance Systems - Surface Systems (LM NE&SS-SS) developed a unique multichip module (MCM) packaging system designed to support low cost manufacturing of electronics for advanced defense and commercial applications. This technology, plastic chip-on-flex (PCOF), is based on the baseline high density interconnect (HDI) technology, invented by General Electric Aerospace (now Lockheed Martin) and perfected as a result of on-going collaboration between Lockheed Martin (LM) and GE. The Lockheed Martin PCOF technology is a key element in reducing costs of microelectronic modules. The HDI interconnect structure is rugged (no wirebonds) and can be designed with controlled impedance transmission lines for sensitive RF interconnections. HDI technology makes it possible to design and manufacture microelectronic modules which are smaller, lighter weight, highly integrated, highly reliable, with predictable/reproducible electrical performance. This paper emphasises several novel aspects of the technology. Benefits include reduced manufacturing cost, increased reliability, and reduced module size and weight.
洛·马海军电子和监视系统水面系统公司(LM NE&SS-SS)开发了一种独特的多芯片模块(MCM)封装系统,旨在支持先进国防和商业应用电子产品的低成本制造。这种塑料柔性芯片(PCOF)技术基于基线高密度互连(HDI)技术,该技术由通用电气航空航天公司(现为洛克希德·马丁公司)发明,并在洛克希德·马丁公司(LM)和通用电气公司的持续合作下得到完善。洛克希德·马丁公司的PCOF技术是降低微电子模块成本的关键因素。HDI互连结构坚固耐用(没有线键),可以设计具有控制阻抗的传输线,用于敏感的RF互连。HDI技术使设计和制造更小、重量更轻、高度集成、高度可靠、具有可预测/可重复电气性能的微电子模块成为可能。本文着重介绍了该技术的几个新方面。优点包括降低制造成本,提高可靠性,减小模块尺寸和重量。
{"title":"A low cost COTS-based microwave packaging methodology","authors":"W. Kritzler, P. Bronecke, P. Kraft, G. Yan","doi":"10.1109/ECTC.2002.1008238","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008238","url":null,"abstract":"Lockheed Martin Naval Electronics & Surveillance Systems - Surface Systems (LM NE&SS-SS) developed a unique multichip module (MCM) packaging system designed to support low cost manufacturing of electronics for advanced defense and commercial applications. This technology, plastic chip-on-flex (PCOF), is based on the baseline high density interconnect (HDI) technology, invented by General Electric Aerospace (now Lockheed Martin) and perfected as a result of on-going collaboration between Lockheed Martin (LM) and GE. The Lockheed Martin PCOF technology is a key element in reducing costs of microelectronic modules. The HDI interconnect structure is rugged (no wirebonds) and can be designed with controlled impedance transmission lines for sensitive RF interconnections. HDI technology makes it possible to design and manufacture microelectronic modules which are smaller, lighter weight, highly integrated, highly reliable, with predictable/reproducible electrical performance. This paper emphasises several novel aspects of the technology. Benefits include reduced manufacturing cost, increased reliability, and reduced module size and weight.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123919312","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Evaluation of liquid crystal polymers for high performance SOP application 液晶聚合物在高性能SOP应用中的评价
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008170
K. Brownlee, P. Raj, S. Bhattacharya, K. Shinotani, C. Wong, R. Tummala
Electronic devices increasingly rely on new materials with improved properties such as lower coefficient of thermal expansion (preferably close to silicon), higher modulus, lower permittivity and dielectric loss, lower moisture absorption better thermal conductivity, higher dimensional stability, and most importantly reduced warpage particularly after the build-up process. Liquid crystal polymers (LCPs) have led to increasing interest for the packaging community due to their superior thermal and electrical properties. The targeted applications areas for LCPs are RF packaging, due to their low loss and low dielectric constant over a wide frequency range (Fukutake and Inoue, 2002; Fukutake, 1998; Jayaraj et al, 1995; Lawrence, 2000; Jayaraj et al, 1996; Yue et al, 1999,), near hermitic plastic sealing due to superior moisture barrier properties (Jayaraj et al, 1997), flex circuits and microvia laminates for high density interconnection (Corbett et al, 2000; Yue and Chan, 1998). This paper is focused toward possible application of LCP as a dielectric material for lamination on PWB and other engineered organic substrates. Commercially available LCP samples were analyzed using a variety of thermal analysis techniques. Based on thermal properties such as coefficient of thermal expansion (CTE), thermal degradation temperature and modulus, samples were selected for applications as a dielectric material. It is expected that a low CTE dielectric such as LCP will further reduce the dielectric film stress even when the CTE of the chip is matched with that of the substrate.
电子器件越来越依赖于具有改进性能的新材料,如更低的热膨胀系数(最好接近硅),更高的模量,更低的介电常数和介电损耗,更低的吸湿性,更好的导热性,更高的尺寸稳定性,最重要的是减少翘曲,特别是在积累过程之后。液晶聚合物(lcp)由于其优越的热学和电学性能而引起了包装界越来越多的兴趣。lpc的目标应用领域是射频封装,因为它们在宽频率范围内具有低损耗和低介电常数(Fukutake和Inoue, 2002;Fukutake, 1998;Jayaraj et al, 1995;劳伦斯,2000;Jayaraj et al, 1996;Yue等人,1999年),由于优越的防潮性能而形成的近绝缘塑料密封(Jayaraj等人,1997年),用于高密度互连的柔性电路和微孔层压板(Corbett等人,2000年);Yue and Chan, 1998)。本文的重点是LCP作为一种介电材料在压路板和其他工程有机衬底上的层压应用。市售LCP样品使用各种热分析技术进行分析。根据热膨胀系数(CTE)、热降解温度和模量等热性能,选择样品作为介电材料。可以预期,即使芯片的CTE与衬底的CTE相匹配,LCP等低CTE电介质也会进一步降低介电膜应力。
{"title":"Evaluation of liquid crystal polymers for high performance SOP application","authors":"K. Brownlee, P. Raj, S. Bhattacharya, K. Shinotani, C. Wong, R. Tummala","doi":"10.1109/ECTC.2002.1008170","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008170","url":null,"abstract":"Electronic devices increasingly rely on new materials with improved properties such as lower coefficient of thermal expansion (preferably close to silicon), higher modulus, lower permittivity and dielectric loss, lower moisture absorption better thermal conductivity, higher dimensional stability, and most importantly reduced warpage particularly after the build-up process. Liquid crystal polymers (LCPs) have led to increasing interest for the packaging community due to their superior thermal and electrical properties. The targeted applications areas for LCPs are RF packaging, due to their low loss and low dielectric constant over a wide frequency range (Fukutake and Inoue, 2002; Fukutake, 1998; Jayaraj et al, 1995; Lawrence, 2000; Jayaraj et al, 1996; Yue et al, 1999,), near hermitic plastic sealing due to superior moisture barrier properties (Jayaraj et al, 1997), flex circuits and microvia laminates for high density interconnection (Corbett et al, 2000; Yue and Chan, 1998). This paper is focused toward possible application of LCP as a dielectric material for lamination on PWB and other engineered organic substrates. Commercially available LCP samples were analyzed using a variety of thermal analysis techniques. Based on thermal properties such as coefficient of thermal expansion (CTE), thermal degradation temperature and modulus, samples were selected for applications as a dielectric material. It is expected that a low CTE dielectric such as LCP will further reduce the dielectric film stress even when the CTE of the chip is matched with that of the substrate.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127202656","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 15
3D interconnect through aligned wafer level bonding 通过对准晶圆级键合实现3D互连
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008295
P. Lindner, V. Dragoi, T. Glinsner, C. Schaefer, R. Islam
Wafer level packaging and 3D interconnect technologies are driven by increasing device density and functionality as well as reduction of total packaging cost. Key enabling technologies for 3D interconnect are high precision alignment and bonding systems and thick resist processing. A unique processing equipment has been developed to meet high volume production requirements. This paper reviews advances in equipment processing capabilities and provides a guideline for new processing techniques available. Wafer to wafer alignment can be carried out in various ways. Traditionally aligned wafer bonding is a well-established technology in the MEMS industry. Special requirements for 3D interconnects are high accuracy, the use of single side processed wafers and 8 inch capability. A comparison of wafer alignment technologies is presented. Also, a novel face-to-face alignment method is described and analyzed in terms of alignment accuracy before and after bonding. Wafer bonding is carried out subsequent to the alignment step in a separate process module. A summary of different bonding methods is given. Intermediate layers that act as bonding agent can be spun on to the wafer. Such coating processes for wafer level packaging applications vary greatly from the requirements for VLSI processing. VLSI photoresist processes use thin layers to transfer small features with sub-micron tolerances. Wafer level bumping typically is performed with 5-150 /spl mu/m films, to transfer large (20-250 /spl mu/m) features, with tolerances approaching micron scale. HDI applications require thicker adhesive layers. The paper concludes with application examples with different intermediate layers such as BCB.
晶圆级封装和3D互连技术是由不断增加的器件密度和功能以及降低总封装成本驱动的。三维互连的关键使能技术是高精度对准和粘接系统和厚阻加工。开发了独特的加工设备,以满足大批量生产的要求。本文综述了设备加工能力的进展,并对新的加工技术提供了指导。晶圆对晶圆的校准可以通过各种方式进行。传统的晶圆键合是MEMS行业中一项成熟的技术。对3D互连的特殊要求是高精度,使用单面加工晶圆和8英寸的能力。对各种晶圆对准技术进行了比较。同时,从粘接前后的对准精度两方面分析了一种新的面对面对准方法。晶圆键合在校准步骤之后在单独的工艺模块中进行。总结了不同的键合方法。充当粘合剂的中间层可以旋转到晶圆上。这种用于晶圆级封装应用的涂层工艺与VLSI加工的要求有很大不同。VLSI光刻胶工艺使用薄层转移具有亚微米公差的小特征。晶圆级颠簸通常以5-150 /spl μ m的薄膜进行,以转移大(20-250 /spl μ m)的特征,公差接近微米级。HDI应用需要更厚的粘合剂层。最后给出了BCB等不同中间层的应用实例。
{"title":"3D interconnect through aligned wafer level bonding","authors":"P. Lindner, V. Dragoi, T. Glinsner, C. Schaefer, R. Islam","doi":"10.1109/ECTC.2002.1008295","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008295","url":null,"abstract":"Wafer level packaging and 3D interconnect technologies are driven by increasing device density and functionality as well as reduction of total packaging cost. Key enabling technologies for 3D interconnect are high precision alignment and bonding systems and thick resist processing. A unique processing equipment has been developed to meet high volume production requirements. This paper reviews advances in equipment processing capabilities and provides a guideline for new processing techniques available. Wafer to wafer alignment can be carried out in various ways. Traditionally aligned wafer bonding is a well-established technology in the MEMS industry. Special requirements for 3D interconnects are high accuracy, the use of single side processed wafers and 8 inch capability. A comparison of wafer alignment technologies is presented. Also, a novel face-to-face alignment method is described and analyzed in terms of alignment accuracy before and after bonding. Wafer bonding is carried out subsequent to the alignment step in a separate process module. A summary of different bonding methods is given. Intermediate layers that act as bonding agent can be spun on to the wafer. Such coating processes for wafer level packaging applications vary greatly from the requirements for VLSI processing. VLSI photoresist processes use thin layers to transfer small features with sub-micron tolerances. Wafer level bumping typically is performed with 5-150 /spl mu/m films, to transfer large (20-250 /spl mu/m) features, with tolerances approaching micron scale. HDI applications require thicker adhesive layers. The paper concludes with application examples with different intermediate layers such as BCB.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127325524","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
期刊
52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1