首页 > 最新文献

52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)最新文献

英文 中文
Investigating the drop impact of portable electronic products 调查便携式电子产品的跌落影响
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008269
c.t. Lim, Y. J. Low
One of the most common causes of failure for portable electronic products is from drop impact. Impact and shock to such products can cause significant functional and physical damage. They can cause external housing, internal electronic component or package-to-board interconnection failure. This paper examines the drop impact response of portable electronic products at different impact orientations and drop heights. A method whereby actual drop test using a cellular phone as an example is proposed. Of interest is the measurement of the level of shock experienced by the electronic components on the printed circuit board (PCB) during impact. A patent pending drop tester which allows drop impact of the cellular phone at any orientation and drop height is used. A high-speed video camera is also utilized to verify the impact orientation. The drop impact responses examined are the impact force and the strains and level of shock induced at the PCB. A better understanding of the shock induced at the electronic components and packages in the products can assist manufacturers not only in designing better components and electronic packages but also products which are more robust and reliable, to handle shock and impact loading.
跌落冲击是便携式电子产品最常见的故障原因之一。对此类产品的冲击和冲击会造成重大的功能和物理损坏。它们可能导致外部外壳、内部电子元件或封装到板的互连故障。研究了便携式电子产品在不同的冲击方向和高度下的跌落冲击响应。提出了一种以蜂窝电话为例进行实际跌落试验的方法。感兴趣的是在冲击过程中对印刷电路板(PCB)上的电子元件所经历的冲击水平的测量。一项正在申请专利的跌落测试仪,允许手机在任何方向和跌落高度跌落。高速摄像机也被用来验证撞击方向。测试的跌落冲击响应是在PCB上产生的冲击力、应变和冲击水平。更好地了解产品中电子元件和封装所引起的冲击,不仅可以帮助制造商设计更好的元件和电子封装,还可以帮助制造商设计更坚固可靠的产品,以应对冲击和冲击载荷。
{"title":"Investigating the drop impact of portable electronic products","authors":"c.t. Lim, Y. J. Low","doi":"10.1109/ECTC.2002.1008269","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008269","url":null,"abstract":"One of the most common causes of failure for portable electronic products is from drop impact. Impact and shock to such products can cause significant functional and physical damage. They can cause external housing, internal electronic component or package-to-board interconnection failure. This paper examines the drop impact response of portable electronic products at different impact orientations and drop heights. A method whereby actual drop test using a cellular phone as an example is proposed. Of interest is the measurement of the level of shock experienced by the electronic components on the printed circuit board (PCB) during impact. A patent pending drop tester which allows drop impact of the cellular phone at any orientation and drop height is used. A high-speed video camera is also utilized to verify the impact orientation. The drop impact responses examined are the impact force and the strains and level of shock induced at the PCB. A better understanding of the shock induced at the electronic components and packages in the products can assist manufacturers not only in designing better components and electronic packages but also products which are more robust and reliable, to handle shock and impact loading.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130073631","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 92
Innovative stack-die package - S2BGA 创新的堆叠芯片封装- S2BGA
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008102
L. Wu, Y. Wang, C. Hsiao
The stack-die package concept emerged 2/spl sim/3 years ago. The major product a stack-die package with flash and SRAM chips integrated together, used in cellular phones for the purpose of size and weight reduction. The basic requirement for these two dies in a stacked package is that the size difference must be large enough to allow a wire bonding process at the bottom die, if we still want to utilize the low cost, mature wire bonding technology in interconnections. However, this requirement will limit the application in trying to integrate two similar or same sized dies into a single stack-die package. One solution for this application is to utilize flip chip technology in the interconnection, to solve the die size difference requirement. One of the concerns for this package is the higher assembly cost due to flip chip interconnection. Therefore, a low cost, high reliability alternative package structure is created, it is named S2BGA (spacer stacked ball grid array). In this package, a silicon spacer is deposited between top and bottom dies to offer enough space for the wire bonding process. Since mature wire bonding technology is still utilized, a reliable and cost effective stack-die package is provided but maintains the same package size.
堆叠芯片封装的概念在3年前就出现了。主要产品是将闪存和SRAM芯片集成在一起的堆叠芯片封装,用于手机,以减小尺寸和重量。在堆叠封装中对这两个模具的基本要求是,如果我们仍然希望在互连中利用低成本,成熟的线键合技术,则尺寸差必须足够大,以便在底部模具上进行线键合工艺。然而,这一要求将限制在试图将两个相似或相同尺寸的芯片集成到单个堆栈芯片封装中的应用。该应用的解决方案之一是在互连中利用倒装芯片技术,以解决芯片尺寸差异的要求。这种封装的一个问题是由于倒装芯片互连而导致较高的组装成本。因此,一种低成本、高可靠性的替代封装结构被创造出来,它被命名为S2BGA(间隔层堆叠球栅阵列)。在这个封装中,硅垫片沉积在顶部和底部模具之间,为线键合过程提供足够的空间。由于仍然使用成熟的线键合技术,因此提供了可靠且具有成本效益的堆栈封装,但保持了相同的封装尺寸。
{"title":"Innovative stack-die package - S2BGA","authors":"L. Wu, Y. Wang, C. Hsiao","doi":"10.1109/ECTC.2002.1008102","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008102","url":null,"abstract":"The stack-die package concept emerged 2/spl sim/3 years ago. The major product a stack-die package with flash and SRAM chips integrated together, used in cellular phones for the purpose of size and weight reduction. The basic requirement for these two dies in a stacked package is that the size difference must be large enough to allow a wire bonding process at the bottom die, if we still want to utilize the low cost, mature wire bonding technology in interconnections. However, this requirement will limit the application in trying to integrate two similar or same sized dies into a single stack-die package. One solution for this application is to utilize flip chip technology in the interconnection, to solve the die size difference requirement. One of the concerns for this package is the higher assembly cost due to flip chip interconnection. Therefore, a low cost, high reliability alternative package structure is created, it is named S2BGA (spacer stacked ball grid array). In this package, a silicon spacer is deposited between top and bottom dies to offer enough space for the wire bonding process. Since mature wire bonding technology is still utilized, a reliable and cost effective stack-die package is provided but maintains the same package size.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132055160","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
System in a package solution for RF receiver with SAW filter integration 系统在一个封装解决方案的射频接收机与声波滤波器集成
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008225
Jongsoo Lee, Young-Min Lee, Choong-Mo Nam, I. Jeong, Dong-Wook Kim, Y. Kwon
An RF receiver module including a SAW filter in a package has been developed for providing a system in a package (SIP) solution. The most significant feature for the receiver module is that the RF SAW (surface acoustic wave) filter is integrated within the package. A typical silicon substate with thick oxide on top (/spl sim/25 /spl mu/m) made it possible to implement the different technologies such as GaAs MMIC and SAW filter on a single substate. MCM-D technology using a silicon substrate in this paper shows the proper solution for a SIP. RF performance and basic circuit components such as inductors, capacitors, resistors and transmission lines are developed. To verify the application of a silicon substrate to a system, an RF receiver module having dual band/tri-mode functions (CDMA, AMPS, and PCS) is implemented on a silicon substrate. A low noise amplifier, RF SAW filter and mixer are integrated on a specialized silicon substrate and show 2.4/spl sim/3 dB NF and 27/spl sim/28 dB gain for PCS (1840/spl sim/1870 MHz) and CDMA (869/spl sim/894 MHz), respectively.
为提供系统级封装(SIP)解决方案,开发了一种包含声波滤波器的射频接收模块。接收模块最显著的特点是射频SAW(表面声波)滤波器集成在封装内。典型的硅基态上有厚氧化物(/spl sim/25 /spl mu/m),可以在单个基态上实现不同的技术,如GaAs、MMIC和SAW滤波器。采用硅衬底的MCM-D技术为SIP提供了合适的解决方案。开发射频性能和基本电路元件,如电感、电容、电阻和传输线。为了验证硅衬底在系统中的应用,在硅衬底上实现了具有双频/三模功能(CDMA, AMPS和PCS)的射频接收器模块。低噪声放大器、射频SAW滤波器和混频器集成在专门的硅衬底上,分别为PCS (1840/spl sim/1870 MHz)和CDMA (869/spl sim/894 MHz)显示2.4/spl sim/3 dB NF和27/spl sim/28 dB增益。
{"title":"System in a package solution for RF receiver with SAW filter integration","authors":"Jongsoo Lee, Young-Min Lee, Choong-Mo Nam, I. Jeong, Dong-Wook Kim, Y. Kwon","doi":"10.1109/ECTC.2002.1008225","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008225","url":null,"abstract":"An RF receiver module including a SAW filter in a package has been developed for providing a system in a package (SIP) solution. The most significant feature for the receiver module is that the RF SAW (surface acoustic wave) filter is integrated within the package. A typical silicon substate with thick oxide on top (/spl sim/25 /spl mu/m) made it possible to implement the different technologies such as GaAs MMIC and SAW filter on a single substate. MCM-D technology using a silicon substrate in this paper shows the proper solution for a SIP. RF performance and basic circuit components such as inductors, capacitors, resistors and transmission lines are developed. To verify the application of a silicon substrate to a system, an RF receiver module having dual band/tri-mode functions (CDMA, AMPS, and PCS) is implemented on a silicon substrate. A low noise amplifier, RF SAW filter and mixer are integrated on a specialized silicon substrate and show 2.4/spl sim/3 dB NF and 27/spl sim/28 dB gain for PCS (1840/spl sim/1870 MHz) and CDMA (869/spl sim/894 MHz), respectively.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130465992","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Ultra high dielectric constant epoxy silver composite for embedded capacitor application 嵌入式电容器用超高介电常数环氧银复合材料
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008210
Y. Rao, C. Wong
Embedded capacitor technology can increase silicon packaging efficiency, improve electrical performance, and reduce electronic assembly cost compared with traditional discrete capacitor technology. Developing a suitable material that satisfies electrical, reliability and processing requirements is one of the major challenges of incorporating capacitors into a printed wiring board (PWB) for demanding wireless, RF portable telecommunication products. A novel epoxy-based composite with very ultra high dielectric constant (/spl epsiv//sub r//spl sim/1000) has been developed in this work. The previous record of /spl epsiv//sub r/=150 was only recently reported. To our best knowledge, this is the highest K value of the polymer-based composite ever reported. High dielectric constant is obtained by increasing the concentration of conductive filler close to but not exceed the percolation threshold within the polymer matrix. This novel ultra high K material also has low dielectric loss (<0.02), good adhesion and perfect multi-chip-module laminate (MCM-L) process compatibility. This novel composite is the perfect material candidate for the integral embedded capacitor applications for next generation electronic products.
与传统的离散电容技术相比,嵌入式电容技术可以提高硅封装效率,提高电性能,降低电子组装成本。开发一种满足电气,可靠性和加工要求的合适材料是将电容器集成到印刷配线板(PWB)中的主要挑战之一,用于要求苛刻的无线,射频便携式电信产品。本文研制了一种具有超高介电常数(/spl epsiv//sub //spl sim/1000)的新型环氧基复合材料。之前的记录/spl epsiv//sub r/=150是最近才报道的。据我们所知,这是迄今为止报道的聚合物基复合材料的最高K值。提高导电填料的浓度,使其接近但不超过聚合物基体内的渗透阈值,可获得较高的介电常数。这种新型超高K材料还具有低介电损耗(<0.02),良好的附着力和完美的多芯片模块层压(MCM-L)工艺兼容性。这种新型复合材料是下一代电子产品集成嵌入式电容器应用的完美候选材料。
{"title":"Ultra high dielectric constant epoxy silver composite for embedded capacitor application","authors":"Y. Rao, C. Wong","doi":"10.1109/ECTC.2002.1008210","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008210","url":null,"abstract":"Embedded capacitor technology can increase silicon packaging efficiency, improve electrical performance, and reduce electronic assembly cost compared with traditional discrete capacitor technology. Developing a suitable material that satisfies electrical, reliability and processing requirements is one of the major challenges of incorporating capacitors into a printed wiring board (PWB) for demanding wireless, RF portable telecommunication products. A novel epoxy-based composite with very ultra high dielectric constant (/spl epsiv//sub r//spl sim/1000) has been developed in this work. The previous record of /spl epsiv//sub r/=150 was only recently reported. To our best knowledge, this is the highest K value of the polymer-based composite ever reported. High dielectric constant is obtained by increasing the concentration of conductive filler close to but not exceed the percolation threshold within the polymer matrix. This novel ultra high K material also has low dielectric loss (<0.02), good adhesion and perfect multi-chip-module laminate (MCM-L) process compatibility. This novel composite is the perfect material candidate for the integral embedded capacitor applications for next generation electronic products.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126903555","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 30
Whole field vapor pressure modeling of QFN during reflow with coupled hygro-mechanical and thermo-mechanical stresses 含水-机械和热-机械耦合应力的QFN回流过程全场蒸汽压模拟
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008314
T. Y. Tee, H. Ng
A comprehensive and integrated package stress model is established for QFN (Quad Flat Non-lead) packages with consideration of the effects of moisture diffusion, heat transfer, thermo-mechanical stress, hygro-mechanical stress, and vapor pressure induced during reflow. The critical plastic materials, i.e. mold compound and die attach, are characterized for hygroswelling and moisture properties. The moisture absorption during preconditioning at JEDEC Level 1, and moisture desorption at various high temperatures are characterized. The vapor pressure modeling applies the micro-mechanics approach, the Representative Volume Element (RVE), with consideration of the micro-void effect. The vapor pressure can be calculated based on the local moisture concentration after preconditioning. Results show that the vapor pressure saturates much faster than the moisture diffusion, and a near uniform vapor pressure is reached in the package. The vapor pressure introduces additional strain of the same order as the thermal strain and hygro strain to the package. Vapor pressure-induced expansion is directly related to the vapor pressure distribution, rather than the moisture distribution.
考虑回流过程中水分扩散、换热、热机械应力、湿机械应力和蒸汽压的影响,建立了QFN (Quad Flat Non-lead)封装的综合集成应力模型。关键塑料材料,即模具复合材料和模具附件,具有湿胀性和湿性。研究了JEDEC 1级预处理过程中的吸湿特性和不同高温条件下的吸湿特性。蒸汽压模型采用了考虑微空洞效应的微力学方法——代表体积元(RVE)。蒸汽压可根据预处理后的局部水分浓度计算。结果表明,蒸汽压饱和的速度远快于水分扩散的速度,在包装内达到了接近均匀的蒸汽压。蒸汽压力给封装带来了与热应变和湿气应变相同量级的额外应变。蒸汽压致膨胀与蒸汽压分布直接相关,而与水分分布无关。
{"title":"Whole field vapor pressure modeling of QFN during reflow with coupled hygro-mechanical and thermo-mechanical stresses","authors":"T. Y. Tee, H. Ng","doi":"10.1109/ECTC.2002.1008314","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008314","url":null,"abstract":"A comprehensive and integrated package stress model is established for QFN (Quad Flat Non-lead) packages with consideration of the effects of moisture diffusion, heat transfer, thermo-mechanical stress, hygro-mechanical stress, and vapor pressure induced during reflow. The critical plastic materials, i.e. mold compound and die attach, are characterized for hygroswelling and moisture properties. The moisture absorption during preconditioning at JEDEC Level 1, and moisture desorption at various high temperatures are characterized. The vapor pressure modeling applies the micro-mechanics approach, the Representative Volume Element (RVE), with consideration of the micro-void effect. The vapor pressure can be calculated based on the local moisture concentration after preconditioning. Results show that the vapor pressure saturates much faster than the moisture diffusion, and a near uniform vapor pressure is reached in the package. The vapor pressure introduces additional strain of the same order as the thermal strain and hygro strain to the package. Vapor pressure-induced expansion is directly related to the vapor pressure distribution, rather than the moisture distribution.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123307991","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 32
Modified flip-chip attach process using high performance non-flow underfill paste 采用高性能不流动底填膏改进倒装芯片贴附工艺
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008127
C. Hatano, H. Takahashi, T. Ichida
Controlled collapse chip connection (C4) and Gold to gold interconnection (GGI) are the typical processes of the flip chip interconnection. In these processes, solder balls or gold bumps formed on the IC chip and the circuit on the interposer substrate are metallurgically connected with each other. Next the metal-metal connection is encapsulated with the underfill material. These flip chip surface mounting processes give excellent contact reliability. However, two separate steps are required at the underfilling process, such as "Encapsulation" and "Curing". Accordingly, it takes relatively longer process time and lower cost performance. On the contrary, "One-step compression attach process" is a simple surface mounting process with high cost performance. "One-step process" utilizes the thermal shrinkage of the connecting materials and no metallurgical connection is attained. Typical materials used for these processes are ACF (anisotropic conductive film), ACP (anisotropic conductive paste), NCP (non conductive paste) and NCF (non conductive film). Unfortunately, reliability of this process is not fully established yet. As no metallurgical connection exists, reliability of One-step process depends on binding force, generated by material's shrinkage. Therefore reliability of One-step process is considered to be inferior to the underfill encapsulation (Two-step) process, especially under the severe level reliability test condition. We investigated both processes and then developed "Modified one step compression attach process" for Chip On Film (COF) applications. This process achieved metallurgical connection, using non-flow underfill. This means, metal-metal interconnection, encapsulation and cure of the underfill are processed in a single step. This "Modified one step compression attach process" used "ESPANEX" as flexible printed circuit board (FPC). "ESPANEX" is adhesive-less Copper Clad Laminate material which has good heat resistance and dimensional stability. New grade of the non-flow underfill material, "ESAREX" is developed for this process. "ESAREX" has high adhesive strength and long pot life at room temperature. As combined of these two materials, interconnection between gold and tin, encapsulation and curing of non-flow underfill are processed in a single step. We achieved excellent reliability results in the thermal cycle, pressure cooker (PCT) and the high temperature and high humidity (HHT) tests, using "Modified one step compression attach process".
可控折叠芯片连接(C4)和金对金互连(GGI)是倒装芯片互连的典型工艺。在这些工艺中,在IC芯片上形成的焊料球或金疙瘩和中间衬底上的电路通过冶金方法相互连接。然后用底填材料封装金属-金属连接。这些倒装芯片表面安装工艺提供了出色的接触可靠性。然而,在下填充过程中需要两个独立的步骤,如“封装”和“固化”。因此,需要相对较长的加工时间和较低的性价比。相反,“一步压贴工艺”是一种简单的表面贴装工艺,具有很高的性价比。“一步工艺”利用连接材料的热收缩,不需要冶金连接。用于这些工艺的典型材料是ACF(各向异性导电膜)、ACP(各向异性导电浆料)、NCP(非导电浆料)和NCF(非导电膜)。不幸的是,这一过程的可靠性尚未完全确定。由于不存在冶金连接,一步法的可靠性取决于材料收缩产生的结合力。因此,一步工艺的可靠性被认为不如下填料封装(两步)工艺,特别是在严峻等级可靠性试验条件下。我们研究了这两种工艺,然后开发了用于片上芯片(COF)应用的“改进的一步压缩贴附工艺”。该工艺采用不流动底填料,实现了冶金连接。这意味着,金属-金属互连,封装和固化下填料是在一个步骤中进行的。这种“改进的一步压缩附加工艺”使用“ESPANEX”作为柔性印刷电路板(FPC)。“ESPANEX”是一种无粘合剂的覆铜层压板材料,具有良好的耐热性和尺寸稳定性。新等级的不流动底填材料“ESAREX”被开发用于该工艺。“ESAREX”在室温下具有高粘接强度和长罐寿命。将这两种材料结合在一起,一步完成了金与锡的互连、不流动底填料的封装和固化。在热循环、高压锅(PCT)和高温高湿(HHT)试验中,采用“改进的一步压缩贴附工艺”,取得了优异的可靠性结果。
{"title":"Modified flip-chip attach process using high performance non-flow underfill paste","authors":"C. Hatano, H. Takahashi, T. Ichida","doi":"10.1109/ECTC.2002.1008127","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008127","url":null,"abstract":"Controlled collapse chip connection (C4) and Gold to gold interconnection (GGI) are the typical processes of the flip chip interconnection. In these processes, solder balls or gold bumps formed on the IC chip and the circuit on the interposer substrate are metallurgically connected with each other. Next the metal-metal connection is encapsulated with the underfill material. These flip chip surface mounting processes give excellent contact reliability. However, two separate steps are required at the underfilling process, such as \"Encapsulation\" and \"Curing\". Accordingly, it takes relatively longer process time and lower cost performance. On the contrary, \"One-step compression attach process\" is a simple surface mounting process with high cost performance. \"One-step process\" utilizes the thermal shrinkage of the connecting materials and no metallurgical connection is attained. Typical materials used for these processes are ACF (anisotropic conductive film), ACP (anisotropic conductive paste), NCP (non conductive paste) and NCF (non conductive film). Unfortunately, reliability of this process is not fully established yet. As no metallurgical connection exists, reliability of One-step process depends on binding force, generated by material's shrinkage. Therefore reliability of One-step process is considered to be inferior to the underfill encapsulation (Two-step) process, especially under the severe level reliability test condition. We investigated both processes and then developed \"Modified one step compression attach process\" for Chip On Film (COF) applications. This process achieved metallurgical connection, using non-flow underfill. This means, metal-metal interconnection, encapsulation and cure of the underfill are processed in a single step. This \"Modified one step compression attach process\" used \"ESPANEX\" as flexible printed circuit board (FPC). \"ESPANEX\" is adhesive-less Copper Clad Laminate material which has good heat resistance and dimensional stability. New grade of the non-flow underfill material, \"ESAREX\" is developed for this process. \"ESAREX\" has high adhesive strength and long pot life at room temperature. As combined of these two materials, interconnection between gold and tin, encapsulation and curing of non-flow underfill are processed in a single step. We achieved excellent reliability results in the thermal cycle, pressure cooker (PCT) and the high temperature and high humidity (HHT) tests, using \"Modified one step compression attach process\".","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123098858","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Effect of Au on interfacial reactions of eutectic SnPb and SnAgCu solders with Al/Ni(V)/Cu thin film metallization Au对Al/Ni(V)/Cu薄膜金属化共晶SnPb和SnAgCu钎料界面反应的影响
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008178
Fan Zhang, C. Chum, Ming Li
Effect of various amounts of Au on the interfacial reactions of SnPb and SnAgCu solders and Al/Ni(V)/Cu underbump metallurgy were investigated after high temperature storage and multiple reflows. During high temperature storage, the presence of Au varied the formation of intermetallic compounds at solder/UBM interfaces from a binary Cu/sub 6/Sn/sub 5/ phase to a ternary Cu-Sn-Au or quarternary Cu-Sn-Ni-Au phase. The phase transformation was a diffusion controlled process, which was influenced by Au amount, aging temperature and solder composition. The effectiveness of the diffusion barrier layer of UBM was also weakened, since Ni and Sri could diffuse and react through a ternary or quarternary phase. Up to 500 hours at 150/spl deg/C all samples showed a ductile failure inside solder under the ball shear test, which indicated a relatively good bonding between the solder and UBM. From these results it was concluded that detrimental effect of Au on the stability of Ni was not as significant as that of Ni/Au substrate metallization. Ni from substrate finish may also play an important role in the interfacial reaction between the solder and Al/Ni(V)/Cu UBM.
在高温贮存和多次回流后,研究了不同Au用量对SnPb和SnAgCu钎料界面反应和Al/Ni(V)/Cu碰撞下冶金的影响。在高温储存过程中,Au的存在改变了钎料/UBM界面金属间化合物的形成,从二元Cu/sub - 6/Sn/sub - 5/相转变为三元Cu-Sn-Au或四元Cu-Sn- ni -Au相。相变是一个扩散控制的过程,受Au用量、时效温度和焊料成分的影响。由于Ni和Sri可以通过三元或四相扩散和反应,UBM的扩散阻挡层的有效性也被削弱。在150/spl度/C下,在500小时的球剪试验中,所有样品的焊料内部都出现了延展性破坏,这表明焊料与UBM之间的结合相对较好。从这些结果可以看出,Au对Ni稳定性的不利影响不如Ni/Au基底金属化对Ni稳定性的不利影响显著。衬底表面的Ni也可能在钎料与Al/Ni(V)/Cu UBM之间的界面反应中起重要作用。
{"title":"Effect of Au on interfacial reactions of eutectic SnPb and SnAgCu solders with Al/Ni(V)/Cu thin film metallization","authors":"Fan Zhang, C. Chum, Ming Li","doi":"10.1109/ECTC.2002.1008178","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008178","url":null,"abstract":"Effect of various amounts of Au on the interfacial reactions of SnPb and SnAgCu solders and Al/Ni(V)/Cu underbump metallurgy were investigated after high temperature storage and multiple reflows. During high temperature storage, the presence of Au varied the formation of intermetallic compounds at solder/UBM interfaces from a binary Cu/sub 6/Sn/sub 5/ phase to a ternary Cu-Sn-Au or quarternary Cu-Sn-Ni-Au phase. The phase transformation was a diffusion controlled process, which was influenced by Au amount, aging temperature and solder composition. The effectiveness of the diffusion barrier layer of UBM was also weakened, since Ni and Sri could diffuse and react through a ternary or quarternary phase. Up to 500 hours at 150/spl deg/C all samples showed a ductile failure inside solder under the ball shear test, which indicated a relatively good bonding between the solder and UBM. From these results it was concluded that detrimental effect of Au on the stability of Ni was not as significant as that of Ni/Au substrate metallization. Ni from substrate finish may also play an important role in the interfacial reaction between the solder and Al/Ni(V)/Cu UBM.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116629744","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Three-dimensional very thin stacked packaging technology for SiP 用于SiP的三维极薄堆叠封装技术
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008278
Y. Yano, T. Sugiyama, S. Ishihara, Y. Fukui, H. Juso, K. Miyata, Y. Sota, K. Fujita
In order to achieve the greater compactness, lightness, high- and multi-functionality required of mobile equipment and other electronic devices, we have developed 3D packaging technology which enables free stacking, at the package level, of ultra-thin CSP (which contain 2 or 1 LSI chip(s)). By stacking at the package level, there are no yield problems, and it is easy to perform independent electrical testing, so it is possible to achieve multi-level stacking while freely combining different kinds of LSI chips like memory or ASIC. By making chips and resin molding thinner, lowering wire loops and optimizing the package structure, we achieved higher package density: a single unit (2 chips) package height of 0.55 mmMax., 2 layers (4 chips) with a unit package height of 1.0 mmMax., and 3 layers (6 chips) with a unit package height of 1.5 mmMax. This technology makes it possible to offer ultra-compact systems-in-package (logic + memory) and high-capacity composite memories.
为了实现移动设备和其他电子设备所需的更紧凑,更轻,更高和多功能,我们开发了3D封装技术,可以在封装级别上自由堆叠超薄CSP(包含2或1个LSI芯片)。通过封装级的堆叠,没有良率问题,并且易于进行独立的电气测试,因此可以实现多层堆叠,同时自由组合存储器或ASIC等不同类型的LSI芯片。通过使芯片和树脂成型更薄,降低线圈和优化封装结构,我们实现了更高的封装密度:单个单元(2个芯片)封装高度为0.55 mmMax。, 2层(4片),单位封装高度1.0 mmMax。3层(6片),单位封装高度1.5 mmMax。这项技术使得提供超紧凑的系统级封装(逻辑+存储器)和高容量复合存储器成为可能。
{"title":"Three-dimensional very thin stacked packaging technology for SiP","authors":"Y. Yano, T. Sugiyama, S. Ishihara, Y. Fukui, H. Juso, K. Miyata, Y. Sota, K. Fujita","doi":"10.1109/ECTC.2002.1008278","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008278","url":null,"abstract":"In order to achieve the greater compactness, lightness, high- and multi-functionality required of mobile equipment and other electronic devices, we have developed 3D packaging technology which enables free stacking, at the package level, of ultra-thin CSP (which contain 2 or 1 LSI chip(s)). By stacking at the package level, there are no yield problems, and it is easy to perform independent electrical testing, so it is possible to achieve multi-level stacking while freely combining different kinds of LSI chips like memory or ASIC. By making chips and resin molding thinner, lowering wire loops and optimizing the package structure, we achieved higher package density: a single unit (2 chips) package height of 0.55 mmMax., 2 layers (4 chips) with a unit package height of 1.0 mmMax., and 3 layers (6 chips) with a unit package height of 1.5 mmMax. This technology makes it possible to offer ultra-compact systems-in-package (logic + memory) and high-capacity composite memories.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116645548","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 39
Micro-scale plasticity effects in microvia reliability analysis 微孔可靠性分析中的微尺度塑性效应
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008274
G. Ramakrishna, R. Pucha, S. Sitaraman
Microvias play a key role in high density wiring substrates. To theoretically predict the fatigue life of the microvias, accurate estimation of plastic strain evolution in microvias is critical. Due to the temperature-dependent material properties and high cyclic strains induced due to thermal excursions in electronic packaging interconnects and components, plasticity theories are extensively used to predict the low-cycle fatigue life. Experimental evidence indicates that plastic deformation in metals and polymers at small scales depends not only on the state variables of stress and strain, but also on their higher order gradients. As the diameter of the microvia reduces, the wall thickness correspondingly reduces and hence the minimum feature size reduces to the order of microns, where scale effects in plasticity are predominant. A plastic strain gradient-based computational algorithm is employed in this work to study the thermo-mechanical deformation of microvia structure. The thermo-mechanical reliability analysis demonstrates the influence of incorporating strain gradient effects in predicting the evolution of plastic deformation in microvia structures.
微过孔在高密度布线基板中起着关键作用。为了从理论上预测微孔的疲劳寿命,准确估计微孔内的塑性应变演化是至关重要的。由于材料的温度依赖性和电子封装互连和元件的热漂移引起的高循环应变,塑性理论被广泛用于预测低周疲劳寿命。实验结果表明,金属和聚合物在小尺度下的塑性变形不仅取决于应力和应变的状态变量,而且取决于它们的高阶梯度。随着微孔直径的减小,壁厚相应减小,因此最小特征尺寸减小到微米量级,塑性中的尺度效应占主导地位。本文采用基于塑性应变梯度的计算算法研究微孔结构的热机械变形。热-机械可靠性分析表明,考虑应变梯度效应对预测微孔结构塑性变形演化的影响。
{"title":"Micro-scale plasticity effects in microvia reliability analysis","authors":"G. Ramakrishna, R. Pucha, S. Sitaraman","doi":"10.1109/ECTC.2002.1008274","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008274","url":null,"abstract":"Microvias play a key role in high density wiring substrates. To theoretically predict the fatigue life of the microvias, accurate estimation of plastic strain evolution in microvias is critical. Due to the temperature-dependent material properties and high cyclic strains induced due to thermal excursions in electronic packaging interconnects and components, plasticity theories are extensively used to predict the low-cycle fatigue life. Experimental evidence indicates that plastic deformation in metals and polymers at small scales depends not only on the state variables of stress and strain, but also on their higher order gradients. As the diameter of the microvia reduces, the wall thickness correspondingly reduces and hence the minimum feature size reduces to the order of microns, where scale effects in plasticity are predominant. A plastic strain gradient-based computational algorithm is employed in this work to study the thermo-mechanical deformation of microvia structure. The thermo-mechanical reliability analysis demonstrates the influence of incorporating strain gradient effects in predicting the evolution of plastic deformation in microvia structures.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123889294","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
A transmission-line model for ceramic capacitors for CAD tools based on measured parameters 基于测量参数的CAD工具陶瓷电容器传输在线模型
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008116
L. Smith, D. Hockanson, K. Kothari
An efficient and accurate transmission-line model for discrete MLC capacitors is developed. Hardware measurement techniques are used to obtain the circuit parameters for the model components. Low inductance measurement fixtures are required to observe and measure the transmission line parameters. The simulated impedance vs frequency results match closely with hardware measurements in the capacitance, resistance and inductance portions of the transfer impedance curve. The transmission-line model is well suited for CAD tools that are used to design power distribution systems.
建立了一种高效、准确的离散MLC电容在线传输模型。采用硬件测量技术获得了模型器件的电路参数。观察和测量传输线参数需要低电感测量夹具。模拟阻抗与频率的结果与传输阻抗曲线中电容、电阻和电感部分的硬件测量结果非常吻合。输电在线模型非常适合用于设计配电系统的CAD工具。
{"title":"A transmission-line model for ceramic capacitors for CAD tools based on measured parameters","authors":"L. Smith, D. Hockanson, K. Kothari","doi":"10.1109/ECTC.2002.1008116","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008116","url":null,"abstract":"An efficient and accurate transmission-line model for discrete MLC capacitors is developed. Hardware measurement techniques are used to obtain the circuit parameters for the model components. Low inductance measurement fixtures are required to observe and measure the transmission line parameters. The simulated impedance vs frequency results match closely with hardware measurements in the capacitance, resistance and inductance portions of the transfer impedance curve. The transmission-line model is well suited for CAD tools that are used to design power distribution systems.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122652679","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
期刊
52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1