首页 > 最新文献

52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)最新文献

英文 中文
Compliant probe substrates for testing high pin-count chip scale packages 用于测试高引脚数芯片规模封装的兼容探针基板
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008257
H.D. Thacker, M. Bakir, D. Keezer, K. Martin, J. Meindl
The ultra high I/O density sea of leads (SoL) chip-scale package (Bakir et al, Proc. 52nd Electron. and Comp. Tech. Conf., 2002) has the potential to revolutionize testability of a gigascale system-on-a-chip (SoC). With this wafer-level packaging technology, testing and burn-in can be migrated to the wafer-level. The parallel nature of wafer-level testing and burn-in, facilitated by SoL, can drive down the cost of obtaining a packaged known good die. The extremely high I/O density of the SoL package, typically 12,000 I/O/cm/sup 2/, provides access to internal nodes on a chip. Greater node access enables partitioning of the device-under-test (DUT) into smaller units while maintaining the ability to control and observe them. In turn, smaller units for testing equates to reduced test vector sets and shorter test times - a much sought after objective. A compliant probe technology has been developed to contact the SoL package. It provides a high-density, low-parasitic, and reliable interface between the package and automated test equipment (ATE) during testing. The compliant probes when used jointly with SoL offer a novel approach to efficiently testing a future SoC.
芯片级封装的超高I/O密度引线海(SoL) (Bakir et al, Proc. 52 Electron)。和Comp. Tech. Conf., 2002)有可能彻底改变千兆级片上系统(SoC)的可测试性。利用这种晶圆级封装技术,测试和老化可以迁移到晶圆级。晶圆级测试和老化的并行性质,由SoL促进,可以降低获得封装好的已知好芯片的成本。SoL封装的极高I/O密度(通常为12,000 I/O/cm/sup 2/)提供了对芯片内部节点的访问。更大的节点访问可以将被测设备(DUT)划分为更小的单元,同时保持控制和观察它们的能力。反过来,更小的测试单元等同于减少的测试向量集和更短的测试时间——这是一个非常追求的目标。开发了一种兼容的探针技术来接触SoL包。在测试过程中,它在封装和自动测试设备(ATE)之间提供了高密度、低寄生和可靠的接口。当与SoL联合使用时,兼容探头提供了一种有效测试未来SoC的新方法。
{"title":"Compliant probe substrates for testing high pin-count chip scale packages","authors":"H.D. Thacker, M. Bakir, D. Keezer, K. Martin, J. Meindl","doi":"10.1109/ECTC.2002.1008257","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008257","url":null,"abstract":"The ultra high I/O density sea of leads (SoL) chip-scale package (Bakir et al, Proc. 52nd Electron. and Comp. Tech. Conf., 2002) has the potential to revolutionize testability of a gigascale system-on-a-chip (SoC). With this wafer-level packaging technology, testing and burn-in can be migrated to the wafer-level. The parallel nature of wafer-level testing and burn-in, facilitated by SoL, can drive down the cost of obtaining a packaged known good die. The extremely high I/O density of the SoL package, typically 12,000 I/O/cm/sup 2/, provides access to internal nodes on a chip. Greater node access enables partitioning of the device-under-test (DUT) into smaller units while maintaining the ability to control and observe them. In turn, smaller units for testing equates to reduced test vector sets and shorter test times - a much sought after objective. A compliant probe technology has been developed to contact the SoL package. It provides a high-density, low-parasitic, and reliable interface between the package and automated test equipment (ATE) during testing. The compliant probes when used jointly with SoL offer a novel approach to efficiently testing a future SoC.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130547915","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
Implementation of the Internet course on conductive adhesives for electronics packaging 电子封装用导电胶黏剂网路课程之实施
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008305
Johan Liu, Liqiang Cao, Xitao Wang, J. Morris
The authors have developed a course on electrically conductive adhesives for Internet delivery from multiple sites. The paper lays out detailed lecture by lecture content, and details of the experimental sequences, which include both high end analytical techniques and experiments which would be adaptable to any basic undergraduate laboratory environment. Today the course is now installed in an Internet server for easy access. The course starts with a general introduction of conductive adhesive joining technology, followed by a section on ICA part. The course ends with the ACA part of the conductive adhesive technology. Both audio and video techniques are used to facilitate the study.
作者已经开发了一门课程的导电胶粘剂从多个网站的互联网传输。论文详细列出了每堂课的授课内容和实验顺序的细节,包括高端的分析技术和实验,可以适应任何基本的本科实验室环境。如今,该课程已安装在互联网服务器上,方便访问。本课程以导电性黏合剂连接技术的一般介绍开始,然后是ICA零件的部分。本课程以导电胶黏剂技术的ACA部分结束。同时使用音频和视频技术来促进研究。
{"title":"Implementation of the Internet course on conductive adhesives for electronics packaging","authors":"Johan Liu, Liqiang Cao, Xitao Wang, J. Morris","doi":"10.1109/ECTC.2002.1008305","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008305","url":null,"abstract":"The authors have developed a course on electrically conductive adhesives for Internet delivery from multiple sites. The paper lays out detailed lecture by lecture content, and details of the experimental sequences, which include both high end analytical techniques and experiments which would be adaptable to any basic undergraduate laboratory environment. Today the course is now installed in an Internet server for easy access. The course starts with a general introduction of conductive adhesive joining technology, followed by a section on ICA part. The course ends with the ACA part of the conductive adhesive technology. Both audio and video techniques are used to facilitate the study.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122493894","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Understanding lead frame surface treatment and its impact on package reliability 了解引线框表面处理及其对封装可靠性的影响
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008215
Renyi Wang, R. Kuder, B. Wu, G. Emmerson, G. Seeley
Lead frame micro-electronic packages are still the most widely used in the semiconductor industry. The surface properties of metal lead frames are involved in several important interfacial interactions within micro-electronic packages and hence have crucial impact on package integrity and reliability. In this work, detailed investigations were carried out to elucidate the chemical compositions of surface treatment solutions as well as the chemical and physical properties of lead frame surfaces. Results from /sup 1/H nuclear magnetic resonance (NMR), X-ray photoelectron spectroscopy (XPS), optical microscopy, and static secondary ion mass spectroscopy (SSIMS) are described. Adhesion testing data obtained from lead frames with different surface treatments, and with die attach adhesives of various chemistries, are reported. In addition, JEDEC reliability tests, using a new die attach adhesive, were performed on molded packages with different packaging material combinations and the results are reported.
引线框架微电子封装仍然是半导体工业中应用最广泛的封装形式。金属引线框架的表面特性涉及微电子封装中几个重要的界面相互作用,因此对封装的完整性和可靠性具有至关重要的影响。在这项工作中,进行了详细的研究,以阐明表面处理溶液的化学成分以及引线框架表面的化学和物理性质。描述了/sup 1/H核磁共振(NMR)、x射线光电子能谱(XPS)、光学显微镜和静态二次离子质谱(SSIMS)的结果。附着力测试数据,从引线框架与不同的表面处理,并与模具附加粘合剂的各种化学,报告。此外,采用一种新型模附胶对不同包装材料组合的模制封装进行了JEDEC可靠性测试,并报告了测试结果。
{"title":"Understanding lead frame surface treatment and its impact on package reliability","authors":"Renyi Wang, R. Kuder, B. Wu, G. Emmerson, G. Seeley","doi":"10.1109/ECTC.2002.1008215","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008215","url":null,"abstract":"Lead frame micro-electronic packages are still the most widely used in the semiconductor industry. The surface properties of metal lead frames are involved in several important interfacial interactions within micro-electronic packages and hence have crucial impact on package integrity and reliability. In this work, detailed investigations were carried out to elucidate the chemical compositions of surface treatment solutions as well as the chemical and physical properties of lead frame surfaces. Results from /sup 1/H nuclear magnetic resonance (NMR), X-ray photoelectron spectroscopy (XPS), optical microscopy, and static secondary ion mass spectroscopy (SSIMS) are described. Adhesion testing data obtained from lead frames with different surface treatments, and with die attach adhesives of various chemistries, are reported. In addition, JEDEC reliability tests, using a new die attach adhesive, were performed on molded packages with different packaging material combinations and the results are reported.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121083752","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Design and optimization of a novel compliant off-chip interconnect One-Turn Helix 一种新型兼容片外互连单匝螺旋的设计与优化
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008208
Qi Zhu, Lunyu Ma, S. Sitaraman
As the rapid advances in IC design and fabrication continue to challenge and push the electronic packaging technology, in terms of fine pitch, high performance, low cost, and good reliability, compliant interconnects show great advantages for next-generation packaging. A novel compliant off-chip interconnect, One-Turn Helix (OTH), is designed as an underfill-free interconnect. It has excellent compliance in all directions to compensate the coefficient of thermal expansion (CTE) mismatch between the silicon die and an organic substrate. The fabrication of OTH is similar to standard IC fabrication, and wafer-level packaging makes it cost effective. In this work, we study the effect of geometry parameters on mechanical and electrical performance of OTH. Thinner and narrower arcuate beam with larger radius and taller post are found to have better mechanical compliance. However, it is found that structures with excellent mechanical compliance cannot have good electrical performance. Therefore, a trade off is needed for the design of OTH. Response surface methodology and an optimization technique have been used to select the optimal OTH structure parameters.
随着集成电路设计和制造的快速发展不断挑战和推动电子封装技术,在细间距,高性能,低成本和良好的可靠性方面,兼容互连显示出下一代封装的巨大优势。一种新型的兼容片外互连,单匝螺旋(OTH),设计为无底填互连。它在各个方向上都具有良好的适应性,可以补偿硅芯片与有机衬底之间的热膨胀系数(CTE)失配。OTH的制造类似于标准IC制造,晶圆级封装使其具有成本效益。在这项工作中,我们研究了几何参数对OTH机械和电气性能的影响。越细越窄、半径越大、桩高越高的弧形梁具有较好的力学顺应性。然而,研究发现,具有良好力学顺应性的结构不能具有良好的电气性能。因此,OTH的设计需要权衡。采用响应面法和优化技术选择最优OTH结构参数。
{"title":"Design and optimization of a novel compliant off-chip interconnect One-Turn Helix","authors":"Qi Zhu, Lunyu Ma, S. Sitaraman","doi":"10.1109/ECTC.2002.1008208","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008208","url":null,"abstract":"As the rapid advances in IC design and fabrication continue to challenge and push the electronic packaging technology, in terms of fine pitch, high performance, low cost, and good reliability, compliant interconnects show great advantages for next-generation packaging. A novel compliant off-chip interconnect, One-Turn Helix (OTH), is designed as an underfill-free interconnect. It has excellent compliance in all directions to compensate the coefficient of thermal expansion (CTE) mismatch between the silicon die and an organic substrate. The fabrication of OTH is similar to standard IC fabrication, and wafer-level packaging makes it cost effective. In this work, we study the effect of geometry parameters on mechanical and electrical performance of OTH. Thinner and narrower arcuate beam with larger radius and taller post are found to have better mechanical compliance. However, it is found that structures with excellent mechanical compliance cannot have good electrical performance. Therefore, a trade off is needed for the design of OTH. Response surface methodology and an optimization technique have been used to select the optimal OTH structure parameters.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116354721","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
Efficient simulation of chip-to-chip interconnect system by combining waveform relaxation with reduced-order modeling methods 结合波形松弛与降阶建模方法的片间互连系统高效仿真
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008231
W. Beyene
A new method is proposed for an efficient transient analysis of an interconnect-dominated system with a large number of linear, lumped and distributed elements and few nonlinear driver and termination networks. The method is based on partitioning the system into linear and nonlinear subnetworks and solving each subsystem iteratively using waveform relaxation technique. This allows a suitable and efficient simulation technique to be applied on each subnetwork. The linear network is analyzed using a reduced-order-modeling technique in the frequency domain and the time-domain waveforms are obtained using the inverse Laplace transform relation and reclusive convolution in the absence of the nonlinear networks. The method improves the simulation speed and accuracy because smaller nonlinear circuits are solved using conventional simulation methods. The technique and the validity of the method are discussed with an example using the Rambus memory channel.
针对具有大量线性、集总和分布单元、很少非线性驱动网络和终端网络的互联控制系统,提出了一种有效的暂态分析方法。该方法基于将系统划分为线性和非线性子网,并利用波形松弛技术对每个子系统进行迭代求解。这允许在每个子网上应用合适且有效的仿真技术。在频域采用降阶建模技术对线性网络进行分析,在没有非线性网络的情况下,利用拉普拉斯逆变换关系和隐卷积得到时域波形。采用传统的仿真方法可以求解更小的非线性电路,提高了仿真速度和精度。最后以Rambus存储器通道为例,讨论了该方法的有效性。
{"title":"Efficient simulation of chip-to-chip interconnect system by combining waveform relaxation with reduced-order modeling methods","authors":"W. Beyene","doi":"10.1109/ECTC.2002.1008231","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008231","url":null,"abstract":"A new method is proposed for an efficient transient analysis of an interconnect-dominated system with a large number of linear, lumped and distributed elements and few nonlinear driver and termination networks. The method is based on partitioning the system into linear and nonlinear subnetworks and solving each subsystem iteratively using waveform relaxation technique. This allows a suitable and efficient simulation technique to be applied on each subnetwork. The linear network is analyzed using a reduced-order-modeling technique in the frequency domain and the time-domain waveforms are obtained using the inverse Laplace transform relation and reclusive convolution in the absence of the nonlinear networks. The method improves the simulation speed and accuracy because smaller nonlinear circuits are solved using conventional simulation methods. The technique and the validity of the method are discussed with an example using the Rambus memory channel.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125254457","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Modeling and control of resistance tolerance for embedded resistors in LTCC LTCC中嵌入式电阻容限的建模与控制
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008145
G. Wang, F. Barlow, A. Elshabini
For embedded resistors in LTCC, the challenge is the high resistance tolerance, normally 20/spl sim/30%. This paper is aimed at modeling and reduction of the tolerance to meet the requirements for high frequency applications; less than 10% resistance tolerance. A mathematical equation for resistance tolerance was derived and experimentally validated. The predicted resistance tolerance agrees with the measured value. With the aid of this equation, resistance tolerance can be related to the tolerance of the print geometry, which is measurable and adjustable prior to firing. It is predicted that for the 10% resistance tolerance goal, print thickness tolerance must be no more than 8%. A comprehensive analysis and step-by-step strategy for tolerance reduction is presented in this work. Some experimental studies have been performed to determine the major factors affecting tolerance. Non-process related factors include resistor size (width and aspect ratio), number of resistor layers in the substrate, location of the resistors on a layer, and printer set-up. As for processing, if the printing is performed in a period of 7 to 17 minutes after paste is applied on the screen, consistent print geometry can be obtained. In addition, a 3-level and 5 factors design of experiments (DOE) shows that the printing parameters, except the low level of squeegee travel, have no significant effect on tolerance of print thickness and width. These results indicate that tolerance control must begin with the design, and include an optimized printer set-up for uniform print thickness across a large printed area. In addition, an appropriate printing process must be used to obtain high resolution rectangular resistors. Through these efforts, 6% to 10% thickness tolerance have been achieved for various print runs and process combinations. Further experiments are underway to evaluate tolerances from high volume production.
对于LTCC中的嵌入式电阻器,挑战在于高电阻容限,通常为20/spl sim/30%。本文的目的是建模和减小公差,以满足高频应用的要求;电阻容忍度小于10%。推导了耐药耐受性的数学方程,并进行了实验验证。预测的电阻容差与实测值吻合。借助该方程,阻力公差可以与打印几何形状的公差相关,该公差在射击之前是可测量和可调整的。据预测,为达到10%的电阻公差目标,打印厚度公差必须不超过8%。在这项工作中,提出了一个全面的分析和逐步减少公差的策略。已经进行了一些实验研究,以确定影响耐受性的主要因素。与工艺无关的因素包括电阻器尺寸(宽度和长宽比)、衬底中的电阻器层数、层上电阻器的位置和打印机设置。在加工方面,如果在屏幕上粘贴浆料后的7 ~ 17分钟内进行印刷,则可以获得一致的印刷几何形状。此外,三水平五因素实验设计(DOE)表明,除刮刀行程水平较低外,印刷参数对印刷厚度和宽度公差没有显著影响。这些结果表明,公差控制必须从设计开始,并包括优化的打印机设置,以便在大打印区域内均匀打印厚度。此外,必须采用适当的印刷工艺来获得高分辨率的矩形电阻器。通过这些努力,各种印刷和工艺组合的厚度公差达到了6%至10%。进一步的实验正在进行中,以评估大批量生产的公差。
{"title":"Modeling and control of resistance tolerance for embedded resistors in LTCC","authors":"G. Wang, F. Barlow, A. Elshabini","doi":"10.1109/ECTC.2002.1008145","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008145","url":null,"abstract":"For embedded resistors in LTCC, the challenge is the high resistance tolerance, normally 20/spl sim/30%. This paper is aimed at modeling and reduction of the tolerance to meet the requirements for high frequency applications; less than 10% resistance tolerance. A mathematical equation for resistance tolerance was derived and experimentally validated. The predicted resistance tolerance agrees with the measured value. With the aid of this equation, resistance tolerance can be related to the tolerance of the print geometry, which is measurable and adjustable prior to firing. It is predicted that for the 10% resistance tolerance goal, print thickness tolerance must be no more than 8%. A comprehensive analysis and step-by-step strategy for tolerance reduction is presented in this work. Some experimental studies have been performed to determine the major factors affecting tolerance. Non-process related factors include resistor size (width and aspect ratio), number of resistor layers in the substrate, location of the resistors on a layer, and printer set-up. As for processing, if the printing is performed in a period of 7 to 17 minutes after paste is applied on the screen, consistent print geometry can be obtained. In addition, a 3-level and 5 factors design of experiments (DOE) shows that the printing parameters, except the low level of squeegee travel, have no significant effect on tolerance of print thickness and width. These results indicate that tolerance control must begin with the design, and include an optimized printer set-up for uniform print thickness across a large printed area. In addition, an appropriate printing process must be used to obtain high resolution rectangular resistors. Through these efforts, 6% to 10% thickness tolerance have been achieved for various print runs and process combinations. Further experiments are underway to evaluate tolerances from high volume production.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125479308","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
A novel no-flow flux underfill material for advanced flip chip packaging 一种用于先进倒装芯片封装的新型无流通量底填料
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008289
A. Xiao, Q. Tong, J. Shah, P. Morganelli
A novel no-flow underfill material for advanced flip chip and CSP packaging has been successfully developed. This new material is based on a non-anhydride resin system and therefore it does not have the chemical sensitizing concern. Unlike the short pot life of most anhydride systems this new material exhibited excellent pot life. The viscosity of the material did not increase over 48 hours at room temperature. During the assembly process, the material demonstrated that it fluxed the solder bumps, formed a nice fillet, and was fully cured during a single reflow exposure. Production efficiency is therefore significantly increased. In addition, the assembled packages using this novel no-flow underfill material also achieved high interconnect yield. In this paper, we present the curing kinetics study and material properties of this novel no-flow material. The influence of fluxing agents on curing kinetics of this system is discussed. Material properties such as glass transition temperature (Tg), modulus, and viscosity were systematically characterized. Differential scanning calorimetry (DSC) dynamic-mechanical analysis (DMA), and rheometry were used for this study. In addition, promising assembly trial results, using small flip chips (PB8) and CSPs (TV46), are reported. Finally, the effects of the formulations and reflow profile on voiding and yield are also discussed.
成功开发了一种新型无流底填材料,用于先进的倒装芯片和CSP封装。这种新材料是基于非酸酐树脂系统,因此它没有化学增敏的问题。与大多数酸酐体系较短的锅寿命不同,这种新材料表现出优异的锅寿命。材料的粘度在室温下超过48小时没有增加。在组装过程中,该材料证明了它可以熔合焊料凸起,形成良好的圆角,并在单次回流暴露期间完全固化。因此,生产效率显著提高。此外,使用这种新型无流动底填材料的组装封装也实现了高互连成品率。本文介绍了这种新型无流材料的固化动力学研究和材料性能。讨论了助熔剂对该体系固化动力学的影响。系统地表征了材料的玻璃化转变温度(Tg)、模量和粘度等性能。本研究采用差示扫描量热法(DSC)、动态力学分析(DMA)和流变法。此外,还报道了使用小型倒装芯片(PB8)和csp (TV46)的组装试验结果。最后讨论了配方和回流剖面对空化率和产率的影响。
{"title":"A novel no-flow flux underfill material for advanced flip chip packaging","authors":"A. Xiao, Q. Tong, J. Shah, P. Morganelli","doi":"10.1109/ECTC.2002.1008289","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008289","url":null,"abstract":"A novel no-flow underfill material for advanced flip chip and CSP packaging has been successfully developed. This new material is based on a non-anhydride resin system and therefore it does not have the chemical sensitizing concern. Unlike the short pot life of most anhydride systems this new material exhibited excellent pot life. The viscosity of the material did not increase over 48 hours at room temperature. During the assembly process, the material demonstrated that it fluxed the solder bumps, formed a nice fillet, and was fully cured during a single reflow exposure. Production efficiency is therefore significantly increased. In addition, the assembled packages using this novel no-flow underfill material also achieved high interconnect yield. In this paper, we present the curing kinetics study and material properties of this novel no-flow material. The influence of fluxing agents on curing kinetics of this system is discussed. Material properties such as glass transition temperature (Tg), modulus, and viscosity were systematically characterized. Differential scanning calorimetry (DSC) dynamic-mechanical analysis (DMA), and rheometry were used for this study. In addition, promising assembly trial results, using small flip chips (PB8) and CSPs (TV46), are reported. Finally, the effects of the formulations and reflow profile on voiding and yield are also discussed.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116696080","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
The evaluation of copper migration during the die attach curing and second wire bonding process 模接固化和二次焊丝过程中铜迁移的评价
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008319
T.Y. Lin, K. Davison, W. Leong, S. Chua, J. S. Pan, J. Chai, K. Toh, W. C. Tjiu
The copper migration on the silver plated surface of the lead-frames with various heat treatments was evaluated by X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM) and atomic force microscopy (AFM) methodologies. The copper migration may introduce copper oxidation and result in the wedge bonding failures due to the non-stick on lead (NSOL). The experiment was performed on the two kinds of TQFP leadframes with the stamped and etched manufacturing processes. XPS results showed that the etched leadframe was the relatively better one in that less copper oxide was detected on silver surface after annealing process. However, more copper was clearly observed to diffuse onto the silver surface after annealing process in the stamped leadframe. In comparison between the stamped and etched lead-frames, the silver plated layer in latter more efficiently blocks the copper diffusion - either surface or bulk diffusion. In addition, TEM and AFM provided the additional insight of the grain structure and surface roughness measurement of silver.
采用x射线光电子能谱(XPS)、透射电子显微镜(TEM)和原子力显微镜(AFM)等方法研究了不同热处理方式下铅架镀银表面铜的迁移情况。铜的迁移可能导致铜氧化,并由于铅不粘接而导致楔接失效。采用冲压和蚀刻两种制造工艺对两种TQFP引线框进行了实验研究。XPS结果表明,经退火处理后,镀银表面的氧化铜含量较低,是较好的镀银引线框架。然而,在冲压引线框退火处理后,明显观察到更多的铜扩散到银表面。在冲压和蚀刻铅框的比较中,后者的镀银层更有效地阻止了铜的扩散——无论是表面扩散还是整体扩散。此外,TEM和AFM为银的晶粒结构和表面粗糙度测量提供了额外的见解。
{"title":"The evaluation of copper migration during the die attach curing and second wire bonding process","authors":"T.Y. Lin, K. Davison, W. Leong, S. Chua, J. S. Pan, J. Chai, K. Toh, W. C. Tjiu","doi":"10.1109/ECTC.2002.1008319","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008319","url":null,"abstract":"The copper migration on the silver plated surface of the lead-frames with various heat treatments was evaluated by X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM) and atomic force microscopy (AFM) methodologies. The copper migration may introduce copper oxidation and result in the wedge bonding failures due to the non-stick on lead (NSOL). The experiment was performed on the two kinds of TQFP leadframes with the stamped and etched manufacturing processes. XPS results showed that the etched leadframe was the relatively better one in that less copper oxide was detected on silver surface after annealing process. However, more copper was clearly observed to diffuse onto the silver surface after annealing process in the stamped leadframe. In comparison between the stamped and etched lead-frames, the silver plated layer in latter more efficiently blocks the copper diffusion - either surface or bulk diffusion. In addition, TEM and AFM provided the additional insight of the grain structure and surface roughness measurement of silver.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131510767","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Development of OE integrated surface mount packaging OE集成表面贴装封装的开发
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008152
Y. Kishida, Y. Kuba, R. Komeda, Takehiro Okumichi, Katsuhide Setoguchi, T. Matsubara
In an effort to provide a breakthrough in next-generation fiber optic data links, we are proposing a concept in optics and electronics (OE) integrated surface mount packaging technology. It can provide more compact and easier assembly of optoelectronics packaging. One assembly issue of surface mount packaging is being able to secure high bit rate transmission lines from board level to optics mount level as well as optical connectivity on the board. In this paper, we describe how a flat lead type surface mount package utilizing RF vias, which has broadband characteristics and provides high performance, low distortion of the pulse waveform, and low jitter, from active devices. For a demonstration, we evaluated actual EO modules using 2 mm length RF vias, enabling a receptacle such as an SC type to be attached. Measurement results of electrical/optical eye diagram tests were very close to the theoretical expectations. Therefore, we believe that the technology is a positive solution to develop downsizing and mass-productivity of optoelectronics packaging.
为了在下一代光纤数据链方面取得突破,我们提出了光学和电子(OE)集成表面贴装封装技术的概念。它可以提供更紧凑,更容易组装的光电封装。表面贴装封装的一个组装问题是能够确保从板级到光学贴装级的高比特率传输线以及板上的光学连接。在本文中,我们描述了如何利用射频通孔的平面引线型表面贴装封装,该封装具有宽带特性,并提供来自有源器件的高性能,低脉冲波形失真和低抖动。为了演示,我们使用2mm长度的RF通孔评估了实际的EO模块,使插座(如SC型)能够连接。电学/光学眼图测试的测量结果与理论预期非常接近。因此,我们相信该技术是发展光电封装小型化和大规模生产的积极解决方案。
{"title":"Development of OE integrated surface mount packaging","authors":"Y. Kishida, Y. Kuba, R. Komeda, Takehiro Okumichi, Katsuhide Setoguchi, T. Matsubara","doi":"10.1109/ECTC.2002.1008152","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008152","url":null,"abstract":"In an effort to provide a breakthrough in next-generation fiber optic data links, we are proposing a concept in optics and electronics (OE) integrated surface mount packaging technology. It can provide more compact and easier assembly of optoelectronics packaging. One assembly issue of surface mount packaging is being able to secure high bit rate transmission lines from board level to optics mount level as well as optical connectivity on the board. In this paper, we describe how a flat lead type surface mount package utilizing RF vias, which has broadband characteristics and provides high performance, low distortion of the pulse waveform, and low jitter, from active devices. For a demonstration, we evaluated actual EO modules using 2 mm length RF vias, enabling a receptacle such as an SC type to be attached. Measurement results of electrical/optical eye diagram tests were very close to the theoretical expectations. Therefore, we believe that the technology is a positive solution to develop downsizing and mass-productivity of optoelectronics packaging.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128158653","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Solder joint shape and standoff height prediction and integration with FEA-based methodology for reliability evaluation 基于有限元的可靠性评估方法与焊点形状和高度预测的集成
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008345
Sidharth, R. Blish, D. Natekar
Solder joint fatigue failure is a common failure mechanism in semiconductor packages mounted on boards. The thermal expansion mismatch between the package and the board causes cyclic loading on the solder joints during temperature cycling. It is therefore important to model the solder joint shape and standoff height accurately to estimate the reliability of a solder joint assembly. This paper discusses details of solder shape prediction using the Surface Evolver tool and its validation with experimental data. A comparison with truncated sphere model is also provided. A strategy for importing Surface Evolver data into a finite element based reliability evaluation is outlined.
焊点疲劳失效是板上半导体封装常见的失效机制。在温度循环过程中,封装和电路板之间的热膨胀不匹配导致焊点上的循环加载。因此,准确地建立焊点形状和高度模型以估计焊点组件的可靠性是非常重要的。本文讨论了利用Surface Evolver工具预测焊料形状的细节,并用实验数据进行了验证。并与截球模型进行了比较。提出了一种将Surface Evolver数据导入有限元可靠性评估的策略。
{"title":"Solder joint shape and standoff height prediction and integration with FEA-based methodology for reliability evaluation","authors":"Sidharth, R. Blish, D. Natekar","doi":"10.1109/ECTC.2002.1008345","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008345","url":null,"abstract":"Solder joint fatigue failure is a common failure mechanism in semiconductor packages mounted on boards. The thermal expansion mismatch between the package and the board causes cyclic loading on the solder joints during temperature cycling. It is therefore important to model the solder joint shape and standoff height accurately to estimate the reliability of a solder joint assembly. This paper discusses details of solder shape prediction using the Surface Evolver tool and its validation with experimental data. A comparison with truncated sphere model is also provided. A strategy for importing Surface Evolver data into a finite element based reliability evaluation is outlined.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132145507","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
期刊
52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1