首页 > 最新文献

52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)最新文献

英文 中文
Deterioration mechanism of flip chip attachment using an anisotropic conductive film and design technology for high reliability 采用各向异性导电膜的倒装片附件劣化机理及高可靠性设计技术
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008244
S. Fujiwara, M. Harada, Y. Fujita, T. Hachiya, M. Muramatsu
The flip-chip technique, in which a bare chip is directly connected to a substrate, has become a key technology in producing compact electronic products, including cellular phones. In particular, the technique of using Au bumps to connect the bare chip with the substrate, with the aid of an anisotropic conductive film (ACF), is one of the most useful technologies. The most serious problem with ACF bonding technology today is that the deterioration mechanism of interconnections is not clear. This study is motivated to clarify the mechanism of deterioration and to establish the method of obtaining reliability in the design of interconnections for which ACF is used.
将裸片直接连接到衬底上的倒装技术已经成为生产手机等小型电子产品的关键技术。特别是,利用Au凸点连接裸片和衬底的技术,在各向异性导电膜(ACF)的帮助下,是最有用的技术之一。目前ACF键合技术最严重的问题是连接点劣化机制不清楚。本研究的动机是阐明退化的机制,并建立在使用ACF的互连设计中获得可靠性的方法。
{"title":"Deterioration mechanism of flip chip attachment using an anisotropic conductive film and design technology for high reliability","authors":"S. Fujiwara, M. Harada, Y. Fujita, T. Hachiya, M. Muramatsu","doi":"10.1109/ECTC.2002.1008244","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008244","url":null,"abstract":"The flip-chip technique, in which a bare chip is directly connected to a substrate, has become a key technology in producing compact electronic products, including cellular phones. In particular, the technique of using Au bumps to connect the bare chip with the substrate, with the aid of an anisotropic conductive film (ACF), is one of the most useful technologies. The most serious problem with ACF bonding technology today is that the deterioration mechanism of interconnections is not clear. This study is motivated to clarify the mechanism of deterioration and to establish the method of obtaining reliability in the design of interconnections for which ACF is used.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134160187","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
An energy-based method to predict delamination in electronic packaging 基于能量的电子封装分层预测方法
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008197
H. Fan, P. Chung, M. Yuen, P. Chan
The propensity and significance of interfacial delamination as a crucial failure mechanism in electronic packaging have been well documented in many papers. Many of the failure criteria were used to solve 2-dimensional problem with a pre-crack. However, in real electronic packages, the size and location of the cracks or/and delamination cannot be predicted. It is not easy to use the traditional fracture criteria to deal with more complicated 3-D delamination problems. The potential delamination interface of copper leadframe/Epoxy Molding Compound (EMC) was selected in the study. The stresses of the interface were evaluated by the Button Shear Test. A series of Button Shear Tests was conducted to evaluate the adhesion properties of Epoxy Molding Compounds (EMCs) on copper substrate. In each of the tests, the critical load acting on the EMC of the button shear sample was measured at different shear angles and a finite element model was used to evaluate the stresses at the interface between the mold compound and the copper substrate. In this paper, an energy-based method is proposed by deriving the energy to initiate each of the tensile and shear modes of failure across the interfaces of the button shear test samples for the chosen EMC/leadframe material system. Component stresses were extracted from the numerical simulation in order to compute the distortional strain energy density, (U/sub d/), and the hydrostatic strain energy density, (U/sub h/), relating respectively to the shear and tensile mode. (U/sub d/) and (U/sub h/) were calculated from the Young's modulus of EMC and the average stresses within a selected region of the finite element model where it exhibits high stress values.
界面分层作为电子封装中一个重要的失效机制的倾向和意义已经在许多论文中得到了很好的证明。许多破坏准则都是用来解决具有预裂纹的二维问题。然而,在实际的电子封装中,裂纹或/和分层的大小和位置是无法预测的。传统的断裂准则很难处理复杂的三维分层问题。选取了铜引线框架/环氧成型复合材料(EMC)的潜在分层界面。采用按钮剪切试验对界面应力进行了评估。通过一系列的钮扣剪切试验来评价环氧模压化合物(EMCs)在铜基体上的粘附性能。在每个试验中,测量了不同剪切角度下作用于按钮剪切试样电磁相容性的临界载荷,并采用有限元模型计算了模具复合材料与铜基体界面处的应力。本文提出了一种基于能量的方法,通过推导能量来启动所选EMC/引线框架材料体系的按钮剪切试验样品的界面上的每种拉伸和剪切破坏模式。从数值模拟中提取构件应力,分别计算剪切和拉伸模式下的变形应变能密度(U/sub d/)和静水应变能密度(U/sub h/)。(U/sub d/)和(U/sub h/)由电磁电磁的杨氏模量和有限元模型中某一高应力值区域内的平均应力计算得到。
{"title":"An energy-based method to predict delamination in electronic packaging","authors":"H. Fan, P. Chung, M. Yuen, P. Chan","doi":"10.1109/ECTC.2002.1008197","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008197","url":null,"abstract":"The propensity and significance of interfacial delamination as a crucial failure mechanism in electronic packaging have been well documented in many papers. Many of the failure criteria were used to solve 2-dimensional problem with a pre-crack. However, in real electronic packages, the size and location of the cracks or/and delamination cannot be predicted. It is not easy to use the traditional fracture criteria to deal with more complicated 3-D delamination problems. The potential delamination interface of copper leadframe/Epoxy Molding Compound (EMC) was selected in the study. The stresses of the interface were evaluated by the Button Shear Test. A series of Button Shear Tests was conducted to evaluate the adhesion properties of Epoxy Molding Compounds (EMCs) on copper substrate. In each of the tests, the critical load acting on the EMC of the button shear sample was measured at different shear angles and a finite element model was used to evaluate the stresses at the interface between the mold compound and the copper substrate. In this paper, an energy-based method is proposed by deriving the energy to initiate each of the tensile and shear modes of failure across the interfaces of the button shear test samples for the chosen EMC/leadframe material system. Component stresses were extracted from the numerical simulation in order to compute the distortional strain energy density, (U/sub d/), and the hydrostatic strain energy density, (U/sub h/), relating respectively to the shear and tensile mode. (U/sub d/) and (U/sub h/) were calculated from the Young's modulus of EMC and the average stresses within a selected region of the finite element model where it exhibits high stress values.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131955152","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Results of comparative reliability tests on lead-free solder alloys 无铅焊料合金可靠性比较试验结果
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008264
G. Grossmann, G. Nicoletti, U. Soler
The use of lead-free solder brings up concerns regarding the reliability of the new alloys to be used. In a European project (LEADFREE) SnAg, SnAgCu, SnAgCuSb, SnZn and SnPbAg have been tested in order to evaluate comparative data of the growth of cracks in solder joints. Reliability tests performed in other projects use accelerated tests proposed for tin-lead solders and showed a superior reliability of lead free solder over tin-lead alloys. The validity of these tests has to be questioned since they do not allow full relaxation of the stresses in solder joints. Thus each alloy is subject to another amount of strain. LEADFREE tests are run with slow temperature ramps and long dwell times to account for this fact. As a result a faster growth of cracks has been observed in lead free solder joints compared to Sn62Pb36Ag2.
无铅焊料的使用引起了人们对所使用的新合金可靠性的关注。在欧洲项目(LEADFREE)中,对SnAg、SnAgCu、SnAgCuSb、SnZn和SnPbAg进行了测试,以评估焊点裂纹生长的比较数据。在其他项目中进行的可靠性试验使用了针对锡铅焊料提出的加速试验,结果表明无铅焊料的可靠性优于锡铅合金。这些测试的有效性必须受到质疑,因为它们不允许焊点的应力完全松弛。因此,每种合金都要承受另一种量的应变。无铅测试运行缓慢的温度斜坡和长停留时间,以说明这一事实。结果表明,与Sn62Pb36Ag2相比,无铅焊点的裂纹扩展速度更快。
{"title":"Results of comparative reliability tests on lead-free solder alloys","authors":"G. Grossmann, G. Nicoletti, U. Soler","doi":"10.1109/ECTC.2002.1008264","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008264","url":null,"abstract":"The use of lead-free solder brings up concerns regarding the reliability of the new alloys to be used. In a European project (LEADFREE) SnAg, SnAgCu, SnAgCuSb, SnZn and SnPbAg have been tested in order to evaluate comparative data of the growth of cracks in solder joints. Reliability tests performed in other projects use accelerated tests proposed for tin-lead solders and showed a superior reliability of lead free solder over tin-lead alloys. The validity of these tests has to be questioned since they do not allow full relaxation of the stresses in solder joints. Thus each alloy is subject to another amount of strain. LEADFREE tests are run with slow temperature ramps and long dwell times to account for this fact. As a result a faster growth of cracks has been observed in lead free solder joints compared to Sn62Pb36Ag2.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122468338","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 23
Development of a time-domain simulation methodology for the hybrid phase-pole interconnect macromodel 混合相极互连宏观模型的时域仿真方法的发展
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008236
B. Zhong, S. Dvorak, J. Prince
A new hybrid phase-pole macromodel (HPPM) was recently developed for modeling lossless interconnects. Now this HPPM is applied for the transient simulation of interconnects. First, the time-domain waveform is expanded in terms of triangular expansion functions. A knowledge of the triangle impulse response (TIR), which is represented in the form of a HPPM, then allows for the time domain simulation of the line voltage. A recursive convolution algorithm is adopted to carry out the required convolutions efficiently in the transient simulator. Combining this transient simulator with an HPPM extractor yields an efficient transient interconnect signal analysis tool. Work is ongoing to extend the technique to lossy interconnects.
一种新的混合相极宏观模型(HPPM)最近被开发出来用于模拟无损互连。目前,该方法已被应用于互连系统的暂态仿真。首先,将时域波形用三角展开函数展开。三角脉冲响应(TIR)的知识,以HPPM的形式表示,然后允许线电压的时域模拟。在暂态仿真器中,采用递归卷积算法有效地完成所需的卷积。将此瞬态模拟器与HPPM提取器相结合,可产生有效的瞬态互连信号分析工具。将这项技术扩展到有损互连的工作正在进行中。
{"title":"Development of a time-domain simulation methodology for the hybrid phase-pole interconnect macromodel","authors":"B. Zhong, S. Dvorak, J. Prince","doi":"10.1109/ECTC.2002.1008236","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008236","url":null,"abstract":"A new hybrid phase-pole macromodel (HPPM) was recently developed for modeling lossless interconnects. Now this HPPM is applied for the transient simulation of interconnects. First, the time-domain waveform is expanded in terms of triangular expansion functions. A knowledge of the triangle impulse response (TIR), which is represented in the form of a HPPM, then allows for the time domain simulation of the line voltage. A recursive convolution algorithm is adopted to carry out the required convolutions efficiently in the transient simulator. Combining this transient simulator with an HPPM extractor yields an efficient transient interconnect signal analysis tool. Work is ongoing to extend the technique to lossy interconnects.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127995681","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
New effective dielectric constant model for ultra-high speed microstrip lines on multilayer dielectric substrates: effect of conductor-dielectric interphase 多层介质基片上超高速微带线的有效介电常数新模型:导体-介电相效应
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008077
H. T. Vo, C. Davidson, F. Shi
The existing CAD formulae are complicated and inaccurate at high frequencies. In particular, no closed-form expression has been obtained for the effective dielectric constant of microstrip lines on multi-layed dielectric substrate by considering the line-substrate interphase effect, although attempts have been made to study the finite thickness effect of the conductor and dielectric substrate. The present work represents the first attempt to obtain a closed-form CAD formula for the effective dielectric constant of microstrip lines on dielectric substrates by considering the effect of conductor-substrate interphase, in addition to the skin effect losses, dielectric loss, dispersion and radiation losses based on the quasi-TEM assumption and the superposition of partial capacitance. The model is verified by experimental observations on the effective dielectric constant and its dependence on microstrip dimension and frequency.
现有的CAD公式在高频情况下复杂且不准确。特别是考虑线-衬底间相效应的多层介质衬底上微带线的有效介电常数,虽然曾尝试研究导体和介质衬底的有限厚度效应,但没有得到封闭形式的表达式。本文首次尝试在准瞬变电磁法假设和部分电容叠加的基础上,考虑导体-衬底间相的影响,以及集肤效应损耗、介电损耗、色散和辐射损耗,得到介电衬底上微带线有效介电常数的封闭式CAD公式。通过对有效介电常数及其与微带尺寸和频率的关系的实验观察,验证了该模型的正确性。
{"title":"New effective dielectric constant model for ultra-high speed microstrip lines on multilayer dielectric substrates: effect of conductor-dielectric interphase","authors":"H. T. Vo, C. Davidson, F. Shi","doi":"10.1109/ECTC.2002.1008077","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008077","url":null,"abstract":"The existing CAD formulae are complicated and inaccurate at high frequencies. In particular, no closed-form expression has been obtained for the effective dielectric constant of microstrip lines on multi-layed dielectric substrate by considering the line-substrate interphase effect, although attempts have been made to study the finite thickness effect of the conductor and dielectric substrate. The present work represents the first attempt to obtain a closed-form CAD formula for the effective dielectric constant of microstrip lines on dielectric substrates by considering the effect of conductor-substrate interphase, in addition to the skin effect losses, dielectric loss, dispersion and radiation losses based on the quasi-TEM assumption and the superposition of partial capacitance. The model is verified by experimental observations on the effective dielectric constant and its dependence on microstrip dimension and frequency.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129057670","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Frequency domain behavior of solid and gridded reference power/ground planes in LTCC modules LTCC模块中固体和栅格参考电源/地平面的频域特性
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008072
A. Jancura, Guang Chen
This paper presents simulation and experimental results for gridded power/ground plane structures in LTCC-modules. The approach of using different simulation techniques for power/ground structures is discussed and a wire-trace model for solid-gridded plane pair based on the transmission line model is derived and verified with measurements.
本文给出了ltcc模块中网格化电源/地平面结构的仿真和实验结果。讨论了对电力/地面结构采用不同仿真技术的方法,推导了基于传输线模型的固体网格平面对线迹模型,并通过测量进行了验证。
{"title":"Frequency domain behavior of solid and gridded reference power/ground planes in LTCC modules","authors":"A. Jancura, Guang Chen","doi":"10.1109/ECTC.2002.1008072","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008072","url":null,"abstract":"This paper presents simulation and experimental results for gridded power/ground plane structures in LTCC-modules. The approach of using different simulation techniques for power/ground structures is discussed and a wire-trace model for solid-gridded plane pair based on the transmission line model is derived and verified with measurements.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129125528","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Generic, Direct-Chip-Attach MEMS packaging design with high density and aspect ratio Through-Wafer Electrical Interconnect 通用的,直接芯片贴装MEMS封装设计,具有高密度和纵横比通过晶圆电互连
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008099
Seong Joon Ok, J. Neysmith, D. Baldwin
Micro-Electro-Mechanical-Systems (MEMS) are integrated systems combining electrical and mechanical components, which are fabricated using integrated circuit (IC) batch processing techniques. The influence of MEMS is made possible through their benefits in functionality, size, and reliability. In spite of these remarkable and promising achievements in the field of microsystem fabrication, the commercialization of proven devices are lagged far behind expectations, considerably due to high packaging cost, improper packaging design solution and high testing cost. This paper is focused on suggesting and demonstrating a promising generic, modular, Direct-Chip-Attach (DCA), low cost and high reliable MEMS packaging design strategy and solution applying with high aspect ratio Through-Wafer Electrical Interconnect (TWEI). Fabrication of TWEI using dry etching is relatively new technology that can create more and better packaging options than any other conventional packaging design. The various techniques that can make through-wafer vias conductive are demonstrated and analyzed with advantages and drawbacks.
微机电系统(MEMS)是结合电气和机械部件的集成系统,采用集成电路(IC)批量加工技术制造。MEMS的影响力是通过其在功能、尺寸和可靠性方面的优势而成为可能的。尽管在微系统制造领域取得了这些令人瞩目和充满希望的成就,但经过验证的器件的商业化远远落后于预期,这在很大程度上是由于高封装成本,不当的封装设计解决方案和高测试成本。本文的重点是提出和展示一种有前途的通用、模块化、直接芯片连接(DCA)、低成本和高可靠的MEMS封装设计策略和解决方案,并应用高纵横比通晶圆电互连(TWEI)。使用干蚀刻技术制造twi是一种相对较新的技术,可以创造比任何其他传统包装设计更多更好的包装选择。对各种使晶圆通孔导电的技术进行了论证和分析,并分析了它们的优缺点。
{"title":"Generic, Direct-Chip-Attach MEMS packaging design with high density and aspect ratio Through-Wafer Electrical Interconnect","authors":"Seong Joon Ok, J. Neysmith, D. Baldwin","doi":"10.1109/ECTC.2002.1008099","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008099","url":null,"abstract":"Micro-Electro-Mechanical-Systems (MEMS) are integrated systems combining electrical and mechanical components, which are fabricated using integrated circuit (IC) batch processing techniques. The influence of MEMS is made possible through their benefits in functionality, size, and reliability. In spite of these remarkable and promising achievements in the field of microsystem fabrication, the commercialization of proven devices are lagged far behind expectations, considerably due to high packaging cost, improper packaging design solution and high testing cost. This paper is focused on suggesting and demonstrating a promising generic, modular, Direct-Chip-Attach (DCA), low cost and high reliable MEMS packaging design strategy and solution applying with high aspect ratio Through-Wafer Electrical Interconnect (TWEI). Fabrication of TWEI using dry etching is relatively new technology that can create more and better packaging options than any other conventional packaging design. The various techniques that can make through-wafer vias conductive are demonstrated and analyzed with advantages and drawbacks.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129220644","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Raman spectroscopy as a stress sensor in packaging: correct formulae for different sample surfaces 拉曼光谱作为包装中的应力传感器:不同样品表面的正确公式
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008275
Jian Chen, I. De Wolf
In the paper, RS (Raman Spectroscopy) is discussed as a stress sensor in packaging. This paper discusses the measurement of thermo-mechanical stress introduced in the Si chip by packaging. Examples are shown for Si on Cu substrate, flip-chip and PSGA samples. It is shown that the relation between the Raman shift and the stress tensor components depends on the crystallographic orientation of the surface of the sample, i.e. Raman measurements on a [001] surface of a chip give different results than measurements on a cross-section ((1-10) surface). The Raman data are compared with finite element calculations.
本文讨论了拉曼光谱在包装中的应力传感器应用。本文讨论了用封装的方法测量硅片中引入的热机械应力。示例显示了Si on Cu衬底,倒装芯片和PSGA样品。结果表明,拉曼位移和应力张量分量之间的关系取决于样品表面的晶体取向,即在芯片[001]表面上的拉曼测量结果与在截面((1-10)表面上的拉曼测量结果不同。拉曼数据与有限元计算结果进行了比较。
{"title":"Raman spectroscopy as a stress sensor in packaging: correct formulae for different sample surfaces","authors":"Jian Chen, I. De Wolf","doi":"10.1109/ECTC.2002.1008275","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008275","url":null,"abstract":"In the paper, RS (Raman Spectroscopy) is discussed as a stress sensor in packaging. This paper discusses the measurement of thermo-mechanical stress introduced in the Si chip by packaging. Examples are shown for Si on Cu substrate, flip-chip and PSGA samples. It is shown that the relation between the Raman shift and the stress tensor components depends on the crystallographic orientation of the surface of the sample, i.e. Raman measurements on a [001] surface of a chip give different results than measurements on a cross-section ((1-10) surface). The Raman data are compared with finite element calculations.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129250791","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 13
New generation quasi-monolithic integration technology (QMIT) 新一代准单片集成技术(QMIT)
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008163
M. Joodaki, G. Kompa, H. Hillmer
A new technology for integration of high frequency active devices into low cost silicon substrates has been introduced. The novel fabrication process gives excellent advantages such as extremely low thermal resistance, and a much lower thermal stress than the earlier QMIT concepts (Wasige et al., 1999; Joodaki et al., 2001 and 2002). This highly improves the packaging life-time and electrical characteristics of the active devices. The fabrication process is simple and compatible with fabrication of low-loss and high-Q passive elements. Successful integration of low-loss high-Q passive elements on low resistivity Si-substrates in this technology has been achieved for the first time (Joodaki et al., 2002). In comparison to the old concept of QMIT, the elimination of air-bridges in this technology not only reduces the parasitics but also enables the fabrication of the rest of the circuit after measuring the microwave characteristics of the embedded active devices. This makes very accurate microwave and millimeter-wave designs possible. Using the new fabrication process, microwave and millimeter-wave circuits (with both coplanar and microstrip lines) containing power devices have for the first time been possible.
介绍了一种将高频有源器件集成到低成本硅衬底上的新技术。这种新颖的制造工艺具有优异的优点,如极低的热阻,以及比早期QMIT概念低得多的热应力(Wasige等人,1999;Joodaki等人,2001年和2002年)。这大大提高了封装寿命和有源器件的电气特性。制造工艺简单,可用于制造低损耗、高q的无源元件。该技术首次成功地将低损耗高q的无源元件集成到低电阻硅衬底上(Joodaki et al., 2002)。与QMIT的旧概念相比,该技术中消除了空气桥,不仅减少了寄生,而且可以在测量嵌入式有源器件的微波特性后制作其余电路。这使得非常精确的微波和毫米波设计成为可能。使用新的制造工艺,包含功率器件的微波和毫米波电路(包括共面和微带线)首次成为可能。
{"title":"New generation quasi-monolithic integration technology (QMIT)","authors":"M. Joodaki, G. Kompa, H. Hillmer","doi":"10.1109/ECTC.2002.1008163","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008163","url":null,"abstract":"A new technology for integration of high frequency active devices into low cost silicon substrates has been introduced. The novel fabrication process gives excellent advantages such as extremely low thermal resistance, and a much lower thermal stress than the earlier QMIT concepts (Wasige et al., 1999; Joodaki et al., 2001 and 2002). This highly improves the packaging life-time and electrical characteristics of the active devices. The fabrication process is simple and compatible with fabrication of low-loss and high-Q passive elements. Successful integration of low-loss high-Q passive elements on low resistivity Si-substrates in this technology has been achieved for the first time (Joodaki et al., 2002). In comparison to the old concept of QMIT, the elimination of air-bridges in this technology not only reduces the parasitics but also enables the fabrication of the rest of the circuit after measuring the microwave characteristics of the embedded active devices. This makes very accurate microwave and millimeter-wave designs possible. Using the new fabrication process, microwave and millimeter-wave circuits (with both coplanar and microstrip lines) containing power devices have for the first time been possible.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116039008","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Application of statistical tools and methods for high density substrate process development [printed circuit board fabrication] 高密度衬底工艺开发的统计工具和方法的应用[印刷电路板制造]
Pub Date : 2002-08-07 DOI: 10.1109/ECTC.2002.1008173
L. Martin, J. Frei
Statistical tools and methodologies were used in a process development application to develop the alignment process for high density substrate fabrication. Improved. capability of the alignment process was realized by reducing variation of the scale alignment mode. First, the data range of interest was determined for scale alignment mode. Then, over the determined data range of interest, the measurement capabilities of the measurement systems used in the work were determined by MSA (measurement system analysis). By use of a DOE (design of experiment), the measurement systems were determined to give significantly different measurements, indicating algorithms were necessary to translate available data from one measurement system to the reference measurement system for reducing scaling variation in the alignment process. The available data was found to highly correlate to the reference measurement system. Subsequently, using regression modeling techniques, algorithms were developed that translated available data to scale factors for compensation of the placement of through-vias and outermetal in relation to the microvias, the identified limiting factor to alignment capability.
统计工具和方法在一个工艺开发应用程序中使用,以开发高密度基板制造的对准过程。改善。通过减少尺度对准方式的变化来实现对准过程的能力。首先,确定了比例尺对齐模式下感兴趣的数据范围。然后,在确定的感兴趣的数据范围内,通过MSA(测量系统分析)确定工作中使用的测量系统的测量能力。通过DOE(实验设计),确定了测量系统给出的测量值存在显著差异,表明需要算法将可用数据从一个测量系统转换到参考测量系统,以减少校准过程中的缩放变化。发现现有数据与参考测量系统高度相关。随后,使用回归建模技术,开发了算法,将可用数据转换为补偿通孔和外金属与微孔相关的位置的比例因子,这是确定的对准能力的限制因素。
{"title":"Application of statistical tools and methods for high density substrate process development [printed circuit board fabrication]","authors":"L. Martin, J. Frei","doi":"10.1109/ECTC.2002.1008173","DOIUrl":"https://doi.org/10.1109/ECTC.2002.1008173","url":null,"abstract":"Statistical tools and methodologies were used in a process development application to develop the alignment process for high density substrate fabrication. Improved. capability of the alignment process was realized by reducing variation of the scale alignment mode. First, the data range of interest was determined for scale alignment mode. Then, over the determined data range of interest, the measurement capabilities of the measurement systems used in the work were determined by MSA (measurement system analysis). By use of a DOE (design of experiment), the measurement systems were determined to give significantly different measurements, indicating algorithms were necessary to translate available data from one measurement system to the reference measurement system for reducing scaling variation in the alignment process. The available data was found to highly correlate to the reference measurement system. Subsequently, using regression modeling techniques, algorithms were developed that translated available data to scale factors for compensation of the placement of through-vias and outermetal in relation to the microvias, the identified limiting factor to alignment capability.","PeriodicalId":285713,"journal":{"name":"52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116320169","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1