首页 > 最新文献

2009 International Test Conference最新文献

英文 中文
Boundary-scan adoption - an industry snapshot with emphasis on the semiconductor industry 边界扫描采用-一个行业快照,重点是半导体行业
Pub Date : 2009-12-18 DOI: 10.1109/TEST.2009.5355673
P. B. Geiger, S. Butkovich
Increasing circuit densities and speeds are quickly reducing electrical test point access for printed circuit assembly test. Boundary-scan (JTAG/IEEE 1149.x) is a technology that will allow continued testability of printed circuit assemblies, but its use requires that it be designed into semiconductor devices. Currently, not all semiconductor suppliers support boundary-scan. Wider availability of complying devices is necessary to enable cost-efficient and effective board test for future designs. This paper presents the results of a boundary-scan survey developed by the International Electronics Manufacturing Initiative (iNEMI). The survey was intended to gauge the current adoption rate of boundary-scan, identify any impediments to widespread use, and select areas for future research.
电路密度和速度的增加迅速减少了印刷电路组装测试的电气测试点。边界扫描(JTAG/IEEE 1149.x)是一种允许印刷电路组件持续可测试性的技术,但它的使用要求它被设计成半导体器件。目前,并不是所有的半导体供应商都支持边界扫描。为了实现未来设计的成本效益和有效的电路板测试,需要更广泛的符合要求的设备。本文介绍了由国际电子制造倡议(iNEMI)开发的边界扫描调查的结果。该调查旨在衡量当前边界扫描的采用率,确定广泛使用的任何障碍,并选择未来研究的领域。
{"title":"Boundary-scan adoption - an industry snapshot with emphasis on the semiconductor industry","authors":"P. B. Geiger, S. Butkovich","doi":"10.1109/TEST.2009.5355673","DOIUrl":"https://doi.org/10.1109/TEST.2009.5355673","url":null,"abstract":"Increasing circuit densities and speeds are quickly reducing electrical test point access for printed circuit assembly test. Boundary-scan (JTAG/IEEE 1149.x) is a technology that will allow continued testability of printed circuit assemblies, but its use requires that it be designed into semiconductor devices. Currently, not all semiconductor suppliers support boundary-scan. Wider availability of complying devices is necessary to enable cost-efficient and effective board test for future designs. This paper presents the results of a boundary-scan survey developed by the International Electronics Manufacturing Initiative (iNEMI). The survey was intended to gauge the current adoption rate of boundary-scan, identify any impediments to widespread use, and select areas for future research.","PeriodicalId":419063,"journal":{"name":"2009 International Test Conference","volume":"23 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125200029","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
Fast circuit topology based method to configure the scan chains in Illinois Scan architecture 基于快速电路拓扑的伊利诺伊扫描结构扫描链配置方法
Pub Date : 2009-12-18 DOI: 10.1109/TEST.2009.5355661
Swapneel Donglikar, Mainak Banga, M. Chandrasekar, M. Hsiao
High test data volume and long test application time are two major concerns for testing scan based circuits. The Illinois Scan (ILS) architecture has been shown to be effective in addressing both these issues. The ILS achieves a high degree of data compression thereby reducing both test data volume and test application time. However, the fault coverage achieved in the Broadcast Mode of the ILS architecture depends on the actual configuration of individual scan chains, i.e., the number of chains and the mapping of the individual flip-flops of the circuit to the respective scan chain positions. Current methods for constructing scan chains in the ILS are either ad-hoc or rely on test pattern information from an apriori ATPG run. In this paper, we present a novel low cost technique to construct ILS scan configuration for a given design. It efficiently utilizes the circuit topology and tries to optimize the flip-flop assignment to a scan chain location without compromising the fault coverage in the Broadcast Mode. Thus, it eliminates the need of an apriori ATPG run or any test set information. Experimental results on the ISCAS'89 benchmark circuits show that the proposed ILS configuration method can achieve on an average 5% more fault coverage in the Broadcast Mode and an average 15% more reduction in total test data volume and test application time than the existing methods.
高测试数据量和长测试应用时间是测试扫描电路的两个主要问题。Illinois Scan (ILS)体系结构已被证明可以有效地解决这两个问题。ILS实现了高度的数据压缩,从而减少了测试数据量和测试应用时间。然而,在ILS架构的Broadcast Mode中所实现的故障覆盖取决于单个扫描链的实际配置,即链的数量以及电路中单个触发器到相应扫描链位置的映射。目前在ILS中构建扫描链的方法要么是特别的,要么依赖于先验ATPG运行的测试模式信息。在本文中,我们提出了一种新的低成本技术来构建给定设计的ILS扫描结构。它有效地利用了电路拓扑结构,并尝试在不影响广播模式故障覆盖的情况下优化触发器分配到扫描链位置。因此,它消除了先验ATPG运行或任何测试集信息的需要。在ISCAS’89基准电路上的实验结果表明,所提出的ILS配置方法在广播模式下的故障覆盖率平均提高了5%,测试数据总量和测试应用时间平均减少了15%。
{"title":"Fast circuit topology based method to configure the scan chains in Illinois Scan architecture","authors":"Swapneel Donglikar, Mainak Banga, M. Chandrasekar, M. Hsiao","doi":"10.1109/TEST.2009.5355661","DOIUrl":"https://doi.org/10.1109/TEST.2009.5355661","url":null,"abstract":"High test data volume and long test application time are two major concerns for testing scan based circuits. The Illinois Scan (ILS) architecture has been shown to be effective in addressing both these issues. The ILS achieves a high degree of data compression thereby reducing both test data volume and test application time. However, the fault coverage achieved in the Broadcast Mode of the ILS architecture depends on the actual configuration of individual scan chains, i.e., the number of chains and the mapping of the individual flip-flops of the circuit to the respective scan chain positions. Current methods for constructing scan chains in the ILS are either ad-hoc or rely on test pattern information from an apriori ATPG run. In this paper, we present a novel low cost technique to construct ILS scan configuration for a given design. It efficiently utilizes the circuit topology and tries to optimize the flip-flop assignment to a scan chain location without compromising the fault coverage in the Broadcast Mode. Thus, it eliminates the need of an apriori ATPG run or any test set information. Experimental results on the ISCAS'89 benchmark circuits show that the proposed ILS configuration method can achieve on an average 5% more fault coverage in the Broadcast Mode and an average 15% more reduction in total test data volume and test application time than the existing methods.","PeriodicalId":419063,"journal":{"name":"2009 International Test Conference","volume":"56 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130657136","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
Compression based on deterministic vector clustering of incompatible test cubes 基于不兼容测试数据集的确定性向量聚类压缩
Pub Date : 2009-12-18 DOI: 10.1109/TEST.2009.5355555
Grzegorz Mrugalski, N. Mukherjee, J. Rajski, Dariusz Czysz, J. Tyszer
The presented compression scheme is a novel solution that is based on deterministic vector clustering and encompasses three data reduction features in one on-chip decoding system. The approach preserves all benefits of continuous flow decompression and offers compression ratios of order 1000x with encoding efficiency much higher than 1.00.
所提出的压缩方案是一种基于确定性向量聚类的新颖解决方案,在一个片上解码系统中包含三个数据约简特征。该方法保留了连续流解压的所有优点,并提供了1000倍的压缩比,编码效率远高于1.00。
{"title":"Compression based on deterministic vector clustering of incompatible test cubes","authors":"Grzegorz Mrugalski, N. Mukherjee, J. Rajski, Dariusz Czysz, J. Tyszer","doi":"10.1109/TEST.2009.5355555","DOIUrl":"https://doi.org/10.1109/TEST.2009.5355555","url":null,"abstract":"The presented compression scheme is a novel solution that is based on deterministic vector clustering and encompasses three data reduction features in one on-chip decoding system. The approach preserves all benefits of continuous flow decompression and offers compression ratios of order 1000x with encoding efficiency much higher than 1.00.","PeriodicalId":419063,"journal":{"name":"2009 International Test Conference","volume":"6 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133471950","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 29
Dynamic arbitrary jitter injection method for ≫6.5Gb/s SerDes testing 动态任意抖动注入方法在6.5Gb/s伺服器测试
Pub Date : 2009-12-18 DOI: 10.1109/TEST.2009.5355735
Tasuku Fujibe, M. Suda, Kazuhiro Yamamoto, Y. Nagata, Kazuhiro Fujita, D. Watanabe, T. Okayasu
A dynamic arbitrary jitter injection method that can be integrated into our high speed and high density CMOS timing generator has been developed. This method makes it possible to inject arbitrary jitter including Periodic Jitter, Random Jitter and Data Dependent Jitter in order to realize flexible SerDes device testing. By this method, furthermore, jitter injection is dynamically and synchronously controllable according to a test pattern. We have implemented our jitter injection method in a prototype chip to demonstrate the concept. The chip includes a 6.5Gb/s timing generator and was fabricated by a 90nm CMOS process. Area and power consumption for each edge including the jitter injection scheme and timing generator are 0.2mm2 and 43.8mW respectively.
提出了一种可集成到高速高密度CMOS定时发生器中的动态任意抖动注入方法。该方法可以注入任意抖动,包括周期性抖动、随机抖动和数据相关抖动,以实现灵活的SerDes器件测试。此外,该方法还可以根据测试模式对抖动注入进行动态同步控制。我们已经在一个原型芯片中实现了我们的抖动注入方法来演示这个概念。该芯片包括一个6.5Gb/s时序发生器,采用90nm CMOS工艺制造。包括抖动注入方案和定时发生器在内的每条边的面积和功耗分别为0.2mm2和43.8mW。
{"title":"Dynamic arbitrary jitter injection method for ≫6.5Gb/s SerDes testing","authors":"Tasuku Fujibe, M. Suda, Kazuhiro Yamamoto, Y. Nagata, Kazuhiro Fujita, D. Watanabe, T. Okayasu","doi":"10.1109/TEST.2009.5355735","DOIUrl":"https://doi.org/10.1109/TEST.2009.5355735","url":null,"abstract":"A dynamic arbitrary jitter injection method that can be integrated into our high speed and high density CMOS timing generator has been developed. This method makes it possible to inject arbitrary jitter including Periodic Jitter, Random Jitter and Data Dependent Jitter in order to realize flexible SerDes device testing. By this method, furthermore, jitter injection is dynamically and synchronously controllable according to a test pattern. We have implemented our jitter injection method in a prototype chip to demonstrate the concept. The chip includes a 6.5Gb/s timing generator and was fabricated by a 90nm CMOS process. Area and power consumption for each edge including the jitter injection scheme and timing generator are 0.2mm2 and 43.8mW respectively.","PeriodicalId":419063,"journal":{"name":"2009 International Test Conference","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128087729","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
At-speed test on the QorIQTM P2020 platform 在QorIQTM P2020平台上进行高速测试
Pub Date : 2009-12-18 DOI: 10.1109/TEST.2009.5355588
Colin D. Renfrew, Brian Booth, Shweta Latawa, R. Woltenberg, C. Pyron
This paper describes how at-speed testing for delay faults was achieved on the P2020 QorIQ device. Modifications to the scan clocking architecture were made over previous designs in order to facilitate at-speed testing and improve overall test coverage. A methodology for ATPG was derived for this enhanced architecture and applied to the device. The design and implementation will be presented in this paper, along with results from ATPG and silicon.
本文介绍了如何在P2020 QorIQ器件上实现延迟故障的高速测试。对扫描时钟架构的修改是在以前的设计上进行的,以便于快速测试和提高整体测试覆盖率。ATPG的方法是为这种增强的体系结构而衍生的,并应用于该设备。本文将介绍设计和实现,以及ATPG和silicon的结果。
{"title":"At-speed test on the QorIQTM P2020 platform","authors":"Colin D. Renfrew, Brian Booth, Shweta Latawa, R. Woltenberg, C. Pyron","doi":"10.1109/TEST.2009.5355588","DOIUrl":"https://doi.org/10.1109/TEST.2009.5355588","url":null,"abstract":"This paper describes how at-speed testing for delay faults was achieved on the P2020 QorIQ device. Modifications to the scan clocking architecture were made over previous designs in order to facilitate at-speed testing and improve overall test coverage. A methodology for ATPG was derived for this enhanced architecture and applied to the device. The design and implementation will be presented in this paper, along with results from ATPG and silicon.","PeriodicalId":419063,"journal":{"name":"2009 International Test Conference","volume":"177 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125816052","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Test infrastructures evaluation at transaction level 在事务级别进行测试基础设施评估
Pub Date : 2009-12-18 DOI: 10.1109/TEST.2009.5355830
S. Carlo, N. Hatami, P. Prinetto
The goal of this work is to propose a method to fully exploit TLM2.0 potentialities to evaluate test infrastructures. By providing the high level model with necessary information from RTL, the behavior of test infrastructures can be simulated taking advantage of high simulation speed of TLM. This way, the high level model is able to both estimate the cost of test infrastructure much faster and facilitate decision making for proper test infrastructure at RTL.
这项工作的目标是提出一种方法来充分利用TLM2.0的潜力来评估测试基础设施。通过向高层模型提供RTL的必要信息,利用TLM的高仿真速度,可以对测试基础设施的行为进行仿真。通过这种方式,高级模型能够更快地估计测试基础结构的成本,并促进在RTL中为适当的测试基础结构做出决策。
{"title":"Test infrastructures evaluation at transaction level","authors":"S. Carlo, N. Hatami, P. Prinetto","doi":"10.1109/TEST.2009.5355830","DOIUrl":"https://doi.org/10.1109/TEST.2009.5355830","url":null,"abstract":"The goal of this work is to propose a method to fully exploit TLM2.0 potentialities to evaluate test infrastructures. By providing the high level model with necessary information from RTL, the behavior of test infrastructures can be simulated taking advantage of high simulation speed of TLM. This way, the high level model is able to both estimate the cost of test infrastructure much faster and facilitate decision making for proper test infrastructure at RTL.","PeriodicalId":419063,"journal":{"name":"2009 International Test Conference","volume":"78 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126175587","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Thermal characterization of BIST, scan design and sequential test methodologies BIST的热特性,扫描设计和顺序测试方法
Pub Date : 2009-12-18 DOI: 10.1109/TEST.2009.5355733
M. O. Simsir, N. Jha
It is a well known fact that during testing of a complex integrated circuit (IC), power consumption can far exceed the values reached during its normal operation. High power consumption, combined with limited cooling support, leads to overheating of ICs. This can cause permanent damage to the chip or can invalidate test results due to changes in the path delay. Therefore, even good chips can fail the test. To prevent this problem, a methodology to generate the thermal profile of chips during test is needed. If such profiles are provided beforehand, temperature-aware testing techniques can be devised. In this paper, we address this problem by presenting a methodology for thermally characterizing circuits under test. In our methodology, first, the test sequences for each targeted test strategy, namely, built-in self-test (BIST), scan design and sequential test generation, are generated automatically. Then, power profiles are extracted by using the switching activity information obtained from simulations. Finally, a very fast thermal profiling tool is used to produce the final thermal profiles. To the best of our knowledge, this is the first work on characterizing the thermal effects of different test methods. Such a thermal characterization can be leveraged for temperature-aware system-on-chip (SoC) test scheduling. Our experimental results present the maximum temperature values attained when using different testing techniques on several benchmarks. Results also demonstrate that low power testing techniques are not necessarily temperature-aware.
众所周知,在复杂集成电路(IC)的测试过程中,功耗可能远远超过其正常工作时所达到的值。高功耗,加上有限的冷却支持,导致ic过热。这可能会对芯片造成永久性损坏,或者由于路径延迟的变化而使测试结果无效。因此,即使是好的芯片也可能无法通过测试。为了防止这个问题,需要一种方法来生成芯片在测试过程中的热分布。如果事先提供了这样的轮廓,则可以设计温度感知测试技术。在本文中,我们通过提出一种热表征测试电路的方法来解决这个问题。在我们的方法中,首先,自动生成每个目标测试策略的测试序列,即内置自检(BIST)、扫描设计和顺序测试生成。然后,利用仿真得到的开关活动信息提取功率分布图。最后,使用一个非常快速的热剖面工具来生成最终的热剖面。据我们所知,这是第一次对不同测试方法的热效应进行表征。这种热特性可以用于温度感知的片上系统(SoC)测试调度。我们的实验结果显示了在几个基准上使用不同测试技术时所获得的最高温度值。结果还表明,低功耗测试技术不一定是温度敏感的。
{"title":"Thermal characterization of BIST, scan design and sequential test methodologies","authors":"M. O. Simsir, N. Jha","doi":"10.1109/TEST.2009.5355733","DOIUrl":"https://doi.org/10.1109/TEST.2009.5355733","url":null,"abstract":"It is a well known fact that during testing of a complex integrated circuit (IC), power consumption can far exceed the values reached during its normal operation. High power consumption, combined with limited cooling support, leads to overheating of ICs. This can cause permanent damage to the chip or can invalidate test results due to changes in the path delay. Therefore, even good chips can fail the test. To prevent this problem, a methodology to generate the thermal profile of chips during test is needed. If such profiles are provided beforehand, temperature-aware testing techniques can be devised. In this paper, we address this problem by presenting a methodology for thermally characterizing circuits under test. In our methodology, first, the test sequences for each targeted test strategy, namely, built-in self-test (BIST), scan design and sequential test generation, are generated automatically. Then, power profiles are extracted by using the switching activity information obtained from simulations. Finally, a very fast thermal profiling tool is used to produce the final thermal profiles. To the best of our knowledge, this is the first work on characterizing the thermal effects of different test methods. Such a thermal characterization can be leveraged for temperature-aware system-on-chip (SoC) test scheduling. Our experimental results present the maximum temperature values attained when using different testing techniques on several benchmarks. Results also demonstrate that low power testing techniques are not necessarily temperature-aware.","PeriodicalId":419063,"journal":{"name":"2009 International Test Conference","volume":"35 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126577520","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Power scan: DFT for power switches in VLSI designs 功率扫描:用于VLSI设计中的功率开关的DFT
Pub Date : 2009-12-18 DOI: 10.1109/TEST.2009.5355631
B. Bai, C. Li, A. Kifli, E. Tsai, Kun-Cheng Wu
This poster presents Power Scan, a design-for-testability for power switches in VLSI designs. It measures IR drop in function mode and detects leakage current in sleep mode. Power Scan reduces the test cost at the price of small area overhead.
这张海报介绍了Power Scan,一种用于VLSI设计中电源开关的可测试性设计。它测量IR下降在功能模式和检测泄漏电流在睡眠模式。功率扫描以较小的面积开销为代价,降低了测试成本。
{"title":"Power scan: DFT for power switches in VLSI designs","authors":"B. Bai, C. Li, A. Kifli, E. Tsai, Kun-Cheng Wu","doi":"10.1109/TEST.2009.5355631","DOIUrl":"https://doi.org/10.1109/TEST.2009.5355631","url":null,"abstract":"This poster presents Power Scan, a design-for-testability for power switches in VLSI designs. It measures IR drop in function mode and detects leakage current in sleep mode. Power Scan reduces the test cost at the price of small area overhead.","PeriodicalId":419063,"journal":{"name":"2009 International Test Conference","volume":"45 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115197456","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Scalable and efficient integrated test architecture 可伸缩且高效的集成测试架构
Pub Date : 2009-12-18 DOI: 10.1109/TEST.2009.5355811
M. Portolan, Suresh Goyal, B. G. V. Treuren
This paper presents the Test Instruction Set Architecture (TISA), an invention that can enable scalable interactive testing to leverage the experience of embedded computing. This approach is applied to an 1149.1 system, obtaining a processor able to efficiently handle instrument-based operations.
本文提出了测试指令集体系结构(TISA),这是一项发明,可以使可扩展的交互式测试利用嵌入式计算的经验。该方法应用于1149.1系统,获得了一个能够有效处理基于仪器的操作的处理器。
{"title":"Scalable and efficient integrated test architecture","authors":"M. Portolan, Suresh Goyal, B. G. V. Treuren","doi":"10.1109/TEST.2009.5355811","DOIUrl":"https://doi.org/10.1109/TEST.2009.5355811","url":null,"abstract":"This paper presents the Test Instruction Set Architecture (TISA), an invention that can enable scalable interactive testing to leverage the experience of embedded computing. This approach is applied to an 1149.1 system, obtaining a processor able to efficiently handle instrument-based operations.","PeriodicalId":419063,"journal":{"name":"2009 International Test Conference","volume":"202 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124933217","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
What is IEEE P1149.8.1 and why? 什么是IEEE P1149.8.1,为什么?
Pub Date : 2009-12-18 DOI: 10.1109/TEST.2009.5355837
K. Parker, J. Burgess
This poster will provide a high-level description of IEEE P1149.8.1 and the manufacturing board test problem set it addresses. The poster will serve as a follow on to a paper (Session 2.1) which will be presented earlier in the conference. The principal goal of the poster is to raise awareness (and participation) for the developing standard.
这张海报将提供IEEE P1149.8.1的高级描述及其解决的制造板测试问题集。该海报将作为一篇论文(第2.1部分)的后续,该论文将在会议早些时候提交。海报的主要目的是提高人们对正在开发的标准的认识(和参与)。
{"title":"What is IEEE P1149.8.1 and why?","authors":"K. Parker, J. Burgess","doi":"10.1109/TEST.2009.5355837","DOIUrl":"https://doi.org/10.1109/TEST.2009.5355837","url":null,"abstract":"This poster will provide a high-level description of IEEE P1149.8.1 and the manufacturing board test problem set it addresses. The poster will serve as a follow on to a paper (Session 2.1) which will be presented earlier in the conference. The principal goal of the poster is to raise awareness (and participation) for the developing standard.","PeriodicalId":419063,"journal":{"name":"2009 International Test Conference","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-12-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128726695","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
2009 International Test Conference
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1