首页 > 最新文献

2022 33rd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC)最新文献

英文 中文
CMP Process Control With iAPC Segmented Modeling to Achieve Desired With-in-Wafer Uniformity and Geometry Across Consumable Life CMP过程控制与iAPC分段建模,以实现所需的晶圆内均匀性和几何跨越耗材寿命
Pub Date : 2022-05-02 DOI: 10.1109/asmc54647.2022.9792498
Logamanya Rukmangathan Duraisamy, Karthik Sankar, Gerry Dizon, Sreejith Ajithkumar, Yew Siew Chong Mentor
The with-in-wafer uniformity and geometry of the CMP process varies across the life of consumables. The pre layer geometry and thickness significantly affect the post CMP process uniformity and geometry of the wafer. Inconsistency in post CMP wafer uniformity and geometry affects downstream process margin which leads to various yield issues like open or short circuit between contact to gate. Wafer geometry is measured, and out-of-control wafers are reworked to be within specified control limits. OOC and rework in CMP due to consumable caused variations in CMP and upstream process contribution to variation. To reduce rework, CMP is normally employed with process controls like APC (Advanced Process Control) and Endpoints. APC can be effective if integrated closely with CMP system with reduced delays in feedback, while Endpoints are challenging, since the oxide CMP layer is required for an endpoint within the layer (i.e., stop in layer), which makes it inaccurate and small changes in underlying layer properties affect endpoints to be less accurate. iAPC (integrated Advanced Process Control) is tightly integrated with the CMP polishing systems, which reduces feedback delays with a faster interface with the tool to achieve better process control. Modeling strategy of iAPC for CMP is discussed here in detail to achieve desired process control across consumable life and across tool pool. The modeling approach is segmented by modeling across wafer radial regions to include significance of polishing head’s zone to zone interaction and to get the wafer’s geometry characteristics on each region with consumable influenced by each polishing head zone. Thickness Out-of-Control (OOC) is significantly reduced with iAPC segmented modeling, and the model of identical process schemes is grouped together for continuous feedback across consumable life, which will be discussed in later sections of this paper.
在整个耗材寿命期间,CMP工艺的晶圆内均匀性和几何形状会发生变化。预层的几何形状和厚度显著影响CMP后工艺的均匀性和晶圆的几何形状。后CMP晶圆均匀性和几何形状的不一致影响下游工艺余量,导致各种良率问题,如触点到栅极之间的开路或短路。测量晶圆片的几何形状,对不受控制的晶圆片进行返工,使其在规定的控制范围内。由于消耗品导致CMP的变化和上游工艺导致的CMP的OOC和返工。为了减少返工,CMP通常与APC(高级过程控制)和端点等过程控制一起使用。如果与CMP系统紧密集成,APC可以有效地减少反馈延迟,而端点则具有挑战性,因为氧化物CMP层需要层内的端点(即层内停止),这使得它不准确,底层属性的微小变化会影响端点的准确性。iAPC(集成高级过程控制)与CMP抛光系统紧密集成,通过与工具更快的接口减少反馈延迟,从而实现更好的过程控制。本文详细讨论了面向CMP的iAPC建模策略,以实现所需的跨耗材寿命和跨工具池的过程控制。该建模方法通过对晶圆径向区域的建模进行分段,包括抛光头区域与区域之间相互作用的意义,并得到晶圆在每个抛光头区域影响的耗材区域上的几何特征。iAPC分段建模显著降低了厚度失控(OOC),并将相同工艺方案的模型分组在一起,以便在整个消耗品寿命期间进行连续反馈,这将在本文的后面部分进行讨论。
{"title":"CMP Process Control With iAPC Segmented Modeling to Achieve Desired With-in-Wafer Uniformity and Geometry Across Consumable Life","authors":"Logamanya Rukmangathan Duraisamy, Karthik Sankar, Gerry Dizon, Sreejith Ajithkumar, Yew Siew Chong Mentor","doi":"10.1109/asmc54647.2022.9792498","DOIUrl":"https://doi.org/10.1109/asmc54647.2022.9792498","url":null,"abstract":"The with-in-wafer uniformity and geometry of the CMP process varies across the life of consumables. The pre layer geometry and thickness significantly affect the post CMP process uniformity and geometry of the wafer. Inconsistency in post CMP wafer uniformity and geometry affects downstream process margin which leads to various yield issues like open or short circuit between contact to gate. Wafer geometry is measured, and out-of-control wafers are reworked to be within specified control limits. OOC and rework in CMP due to consumable caused variations in CMP and upstream process contribution to variation. To reduce rework, CMP is normally employed with process controls like APC (Advanced Process Control) and Endpoints. APC can be effective if integrated closely with CMP system with reduced delays in feedback, while Endpoints are challenging, since the oxide CMP layer is required for an endpoint within the layer (i.e., stop in layer), which makes it inaccurate and small changes in underlying layer properties affect endpoints to be less accurate. iAPC (integrated Advanced Process Control) is tightly integrated with the CMP polishing systems, which reduces feedback delays with a faster interface with the tool to achieve better process control. Modeling strategy of iAPC for CMP is discussed here in detail to achieve desired process control across consumable life and across tool pool. The modeling approach is segmented by modeling across wafer radial regions to include significance of polishing head’s zone to zone interaction and to get the wafer’s geometry characteristics on each region with consumable influenced by each polishing head zone. Thickness Out-of-Control (OOC) is significantly reduced with iAPC segmented modeling, and the model of identical process schemes is grouped together for continuous feedback across consumable life, which will be discussed in later sections of this paper.","PeriodicalId":436890,"journal":{"name":"2022 33rd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC)","volume":"29 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-05-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125476612","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Computational overlay as enabler for enhanced on-product overlay control 计算叠加作为增强产品上叠加控制的使能器
Pub Date : 2022-05-02 DOI: 10.1109/asmc54647.2022.9792531
Leon van Dijk, K. M. Adal, Sepideh Golmakaniyoon, B. Le-Gratiet, Niyam Haque, Reza Sahraeian, A. Lam, Richard J. F. van Haren
Computational overlay is developed based on a hybrid approach that combines physical modeling with machine learning. The performance of computational overlay is evaluated on the critical overlay between contact and gate layers. A prediction performance of ~0.7 is achieved in terms of the R2 statistic. The computational overlay model is able to follow variations in overlay, and can be used to establish a link between sources of overlay errors and the actual overlay performance. Furthermore, we will assess how computational overlay-based exposure corrections can be used to reduce the intra-field magnification error variation that is observed.
计算叠加是基于物理建模与机器学习相结合的混合方法开发的。在接触层和栅极层之间的临界覆盖层上对计算覆盖层的性能进行了评价。在R2统计量方面实现了~0.7的预测性能。计算叠加模型能够跟踪叠加的变化,并可用于建立叠加误差源与实际叠加性能之间的联系。此外,我们将评估如何使用基于计算叠加的曝光校正来减少观察到的场内放大误差变化。
{"title":"Computational overlay as enabler for enhanced on-product overlay control","authors":"Leon van Dijk, K. M. Adal, Sepideh Golmakaniyoon, B. Le-Gratiet, Niyam Haque, Reza Sahraeian, A. Lam, Richard J. F. van Haren","doi":"10.1109/asmc54647.2022.9792531","DOIUrl":"https://doi.org/10.1109/asmc54647.2022.9792531","url":null,"abstract":"Computational overlay is developed based on a hybrid approach that combines physical modeling with machine learning. The performance of computational overlay is evaluated on the critical overlay between contact and gate layers. A prediction performance of ~0.7 is achieved in terms of the R2 statistic. The computational overlay model is able to follow variations in overlay, and can be used to establish a link between sources of overlay errors and the actual overlay performance. Furthermore, we will assess how computational overlay-based exposure corrections can be used to reduce the intra-field magnification error variation that is observed.","PeriodicalId":436890,"journal":{"name":"2022 33rd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC)","volume":"13 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-05-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125812949","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A Metal Gate Height Variation Control Method by the Metal Gate Etch at the FinFET Technology 基于FinFET技术的金属栅极刻蚀高度控制方法
Pub Date : 2022-05-02 DOI: 10.1109/asmc54647.2022.9792474
W. Tu, Yan Wang, Jing Qiu, Haiyang Zhang
The metal gate (MG) height composed of complicated work function metal (WFM) films is crucial to the device and yield performance at the FinFET Technology. The parasitic capacitance between MGs and conductors gets increased with the increasing MG height while an disproportional relation is found between the channel resistance and MG height. In this paper, temperature sensitivities of various MG films were investigated and a new MG structure was proposed for the Hydra implementation. The MG height variation across the 300mm wafer was controlled with a Hydra based MG etching on the proposed sidewall WFM chamfered structure. The final MG height uniformity was improved 24%. Current work could be extended to the control of the wafer-to-wafer MG height variation.
在FinFET技术中,由复杂功功能金属(WFM)薄膜组成的金属栅极(MG)高度对器件和良率性能至关重要。MG与导体之间的寄生电容随MG高度的增加而增加,而通道电阻与MG高度之间呈不成比例的关系。本文研究了不同MG膜的温度敏感性,提出了一种用于Hydra实现的新型MG膜结构。在提出的侧壁WFM倒角结构上,采用基于Hydra的MG蚀刻来控制300mm晶圆上MG的高度变化。最终MG高度均匀性提高24%。目前的工作可以扩展到控制晶圆与晶圆之间的MG高度变化。
{"title":"A Metal Gate Height Variation Control Method by the Metal Gate Etch at the FinFET Technology","authors":"W. Tu, Yan Wang, Jing Qiu, Haiyang Zhang","doi":"10.1109/asmc54647.2022.9792474","DOIUrl":"https://doi.org/10.1109/asmc54647.2022.9792474","url":null,"abstract":"The metal gate (MG) height composed of complicated work function metal (WFM) films is crucial to the device and yield performance at the FinFET Technology. The parasitic capacitance between MGs and conductors gets increased with the increasing MG height while an disproportional relation is found between the channel resistance and MG height. In this paper, temperature sensitivities of various MG films were investigated and a new MG structure was proposed for the Hydra implementation. The MG height variation across the 300mm wafer was controlled with a Hydra based MG etching on the proposed sidewall WFM chamfered structure. The final MG height uniformity was improved 24%. Current work could be extended to the control of the wafer-to-wafer MG height variation.","PeriodicalId":436890,"journal":{"name":"2022 33rd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC)","volume":"21 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-05-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125685173","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
In-Line Raman Spectroscopy: High-Throughput Strain Metrology for 3D Devices 在线拉曼光谱:用于3D设备的高通量应变测量
Pub Date : 2022-05-02 DOI: 10.1109/asmc54647.2022.9792512
A. Nourbakhsh, Lan Yu, Tyler Sherwood, Xing Chen, Siddarth A. Krishnan, Py Hung, A. Cepler, Marjorie Cheng, Yonatan Oren
In-line, nondestructive strain measurements are obtained on high aspect ratio 3D device structures using Raman scatterometry on full 300-mm wafers. In-line Raman spectroscopy allows for the monitoring of high-volume manufacturing, thereby offering benefits that include cost savings and faster and improved process control.
利用拉曼散射测量技术在全300毫米晶圆上获得了高纵横比3D器件结构的在线无损应变测量。在线拉曼光谱允许监控大批量生产,从而提供包括节省成本和更快和改进的过程控制在内的好处。
{"title":"In-Line Raman Spectroscopy: High-Throughput Strain Metrology for 3D Devices","authors":"A. Nourbakhsh, Lan Yu, Tyler Sherwood, Xing Chen, Siddarth A. Krishnan, Py Hung, A. Cepler, Marjorie Cheng, Yonatan Oren","doi":"10.1109/asmc54647.2022.9792512","DOIUrl":"https://doi.org/10.1109/asmc54647.2022.9792512","url":null,"abstract":"In-line, nondestructive strain measurements are obtained on high aspect ratio 3D device structures using Raman scatterometry on full 300-mm wafers. In-line Raman spectroscopy allows for the monitoring of high-volume manufacturing, thereby offering benefits that include cost savings and faster and improved process control.","PeriodicalId":436890,"journal":{"name":"2022 33rd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC)","volume":"30 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-05-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116545359","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Evolution of Gas Delivery and Liquid Delivery Systems in Semiconductor Processing Equipment: Modular Architectures Drive Configurability Options and Improve Tool Productivity : EO: Equipment Optimization 半导体加工设备中气体输送和液体输送系统的发展:模块化架构驱动可配置性选项并提高工具生产率;EO:设备优化
Pub Date : 2022-05-02 DOI: 10.1109/asmc54647.2022.9792503
Gary Xing, P. Werbaneth, Randy Treur, Phil Barros
Modular gas delivery systems replaced conventional gas panels in the semiconductor capital equipment industry for “dry” manufacturing processes such as plasma etch, chemical vapor deposition, physical vapor deposition, epitaxy, and dry strip. Modular gas delivery systems were adopted as a result of numerous benefits the modular architecture offers over conventional system designs. These benefits include smaller size/smaller footprint, easily customizable configurability, ease of maintenance, and increased equipment productivity. From our position as a gas panel supplier to major dry processing equipment manufacturers we have observed and responded to the customer-driven conversion to modular gas delivery systems. We think the same advantages of modularity apply in liquid chemical delivery applications, for example wet cleaning and surface removal, and we are in the process of converting conventional chemical delivery systems into modular-based ones. We present here details about the industry’s conversion from conventional to modular gas delivery systems, extracting the lessons learned, and applying those best practices to modular architecture chemical delivery systems used in the major wet tool applications, including wet cleaning, Electrochemical Deposition (ECD), and Chemical Mechanical Planarization (CMP). We will also discuss three areas of focuses of development on the modular system architecture: serviceability, sealing mechanisms, and contamination control.
模块化气体输送系统取代了半导体资本设备行业的传统气体面板,用于“干”制造工艺,如等离子蚀刻、化学气相沉积、物理气相沉积、外延和干带。采用模块化气体输送系统,是因为模块化架构比传统系统设计具有许多优点。这些优点包括更小的尺寸/更小的占地面积,易于定制配置,易于维护和提高设备生产力。作为主要干法加工设备制造商的气体面板供应商,我们观察并响应了客户驱动的模块化气体输送系统的转变。我们认为模块化的优势同样适用于液体化学输送应用,例如湿式清洗和表面去除,我们正在将传统的化学输送系统转换为基于模块化的系统。本文详细介绍了该行业从传统气体输送系统向模块化气体输送系统的转变,从中吸取经验教训,并将这些最佳实践应用于主要湿式工具应用中使用的模块化化学输送系统,包括湿式清洗、电化学沉积(ECD)和化学机械平面化(CMP)。我们还将讨论模块化系统架构开发的三个重点领域:可服务性、密封机制和污染控制。
{"title":"Evolution of Gas Delivery and Liquid Delivery Systems in Semiconductor Processing Equipment: Modular Architectures Drive Configurability Options and Improve Tool Productivity : EO: Equipment Optimization","authors":"Gary Xing, P. Werbaneth, Randy Treur, Phil Barros","doi":"10.1109/asmc54647.2022.9792503","DOIUrl":"https://doi.org/10.1109/asmc54647.2022.9792503","url":null,"abstract":"Modular gas delivery systems replaced conventional gas panels in the semiconductor capital equipment industry for “dry” manufacturing processes such as plasma etch, chemical vapor deposition, physical vapor deposition, epitaxy, and dry strip. Modular gas delivery systems were adopted as a result of numerous benefits the modular architecture offers over conventional system designs. These benefits include smaller size/smaller footprint, easily customizable configurability, ease of maintenance, and increased equipment productivity. From our position as a gas panel supplier to major dry processing equipment manufacturers we have observed and responded to the customer-driven conversion to modular gas delivery systems. We think the same advantages of modularity apply in liquid chemical delivery applications, for example wet cleaning and surface removal, and we are in the process of converting conventional chemical delivery systems into modular-based ones. We present here details about the industry’s conversion from conventional to modular gas delivery systems, extracting the lessons learned, and applying those best practices to modular architecture chemical delivery systems used in the major wet tool applications, including wet cleaning, Electrochemical Deposition (ECD), and Chemical Mechanical Planarization (CMP). We will also discuss three areas of focuses of development on the modular system architecture: serviceability, sealing mechanisms, and contamination control.","PeriodicalId":436890,"journal":{"name":"2022 33rd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC)","volume":"39 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-05-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132614152","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A Customizable Simulator for Artificial Intelligence Research to Schedule Semiconductor Fabs 用于人工智能研究的可定制模拟器以调度半导体晶圆厂
Pub Date : 2022-05-02 DOI: 10.1109/asmc54647.2022.9792520
Benjamin Kovács, Pierre Tassel, Ramsha Ali, Mohammed M. S. El-Kholany, M. Gebser, Georg Seidel
Optimal scheduling of semiconductor fabs is a huge challenge due to the problem scale and complexity. New dispatching strategies are usually developed and tested using simulators of different fidelity levels. This work presents a scalable, open-source tool for simulating factories up to real-world size, aiming to support the research into new scheduling algorithms from prototyping to large-scale experiments. The simulator comes with a declarative environment definition framework and is out of the box usable with existing reinforcement learning methods, priority-based rules, or evolutionary algorithms. We verify our tool on large-scale public instances and provide proof-of-concept demonstrations of the reinforcement learning interface’s usage.
由于问题的规模和复杂性,半导体晶圆厂的优化调度是一个巨大的挑战。新的调度策略通常使用不同保真度的模拟器进行开发和测试。这项工作提出了一个可扩展的开源工具,用于模拟工厂达到现实世界的规模,旨在支持从原型设计到大规模实验的新调度算法的研究。该模拟器带有声明性环境定义框架,可以与现有的强化学习方法、基于优先级的规则或进化算法一起使用。我们在大规模的公共实例上验证了我们的工具,并提供了强化学习接口使用的概念验证演示。
{"title":"A Customizable Simulator for Artificial Intelligence Research to Schedule Semiconductor Fabs","authors":"Benjamin Kovács, Pierre Tassel, Ramsha Ali, Mohammed M. S. El-Kholany, M. Gebser, Georg Seidel","doi":"10.1109/asmc54647.2022.9792520","DOIUrl":"https://doi.org/10.1109/asmc54647.2022.9792520","url":null,"abstract":"Optimal scheduling of semiconductor fabs is a huge challenge due to the problem scale and complexity. New dispatching strategies are usually developed and tested using simulators of different fidelity levels. This work presents a scalable, open-source tool for simulating factories up to real-world size, aiming to support the research into new scheduling algorithms from prototyping to large-scale experiments. The simulator comes with a declarative environment definition framework and is out of the box usable with existing reinforcement learning methods, priority-based rules, or evolutionary algorithms. We verify our tool on large-scale public instances and provide proof-of-concept demonstrations of the reinforcement learning interface’s usage.","PeriodicalId":436890,"journal":{"name":"2022 33rd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC)","volume":"23 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-05-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133979977","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
NOR yield enhancement and downstream process variation reduction by STI CMP optimization STI CMP优化提高NOR良率,减少下游工艺变化
Pub Date : 2022-05-02 DOI: 10.1109/asmc54647.2022.9792496
Russell McCabe, Suraj KaulKevin Ward, Ronilo Acosta, Bhaskar Pant
Dual STI CMP step recombination was implemented to reduce the variation of the floating gate polysilicon thickness), resulting in less failure from poly foot. Initial qualification of the single oxide CMP showed elevated scratch count, which required DOE modeling with slurry flow rate and tool upgrades to reduce the scratch counts. Once qualified, the recombination showed numerous positive results at probe and post-assembly yield Additional benefits along with the variation reduction was the cycle time improvement along with the cost savings due to merging the dual CMP step into a single step. This change also enabled capacity improvement by qualifying it to run with other technologies and reduced Server SBL(Statistical Bin Limits) breaches driven by poly foot fails.
为了减少浮栅多晶硅厚度的变化,采用双STI - CMP阶跃复合,减少了多晶硅的失效。单氧化物CMP的初始鉴定显示划痕数量增加,这需要DOE根据泥浆流速进行建模,并升级工具以减少划痕数量。一旦合格,重组在探针和组装后的产量方面显示出许多积极的结果。除了减少变化之外,还有额外的好处,即由于将双CMP步骤合并为一个步骤,缩短了周期时间,节省了成本。这一更改还使其能够与其他技术一起运行,从而提高了容量,并减少了由多脚故障驱动的服务器SBL(统计Bin限制)漏洞。
{"title":"NOR yield enhancement and downstream process variation reduction by STI CMP optimization","authors":"Russell McCabe, Suraj KaulKevin Ward, Ronilo Acosta, Bhaskar Pant","doi":"10.1109/asmc54647.2022.9792496","DOIUrl":"https://doi.org/10.1109/asmc54647.2022.9792496","url":null,"abstract":"Dual STI CMP step recombination was implemented to reduce the variation of the floating gate polysilicon thickness), resulting in less failure from poly foot. Initial qualification of the single oxide CMP showed elevated scratch count, which required DOE modeling with slurry flow rate and tool upgrades to reduce the scratch counts. Once qualified, the recombination showed numerous positive results at probe and post-assembly yield Additional benefits along with the variation reduction was the cycle time improvement along with the cost savings due to merging the dual CMP step into a single step. This change also enabled capacity improvement by qualifying it to run with other technologies and reduced Server SBL(Statistical Bin Limits) breaches driven by poly foot fails.","PeriodicalId":436890,"journal":{"name":"2022 33rd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC)","volume":"10 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-05-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133677715","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Photoluminescence imaging for slip line detection and characterization in silicon substrates 硅衬底滑线检测与表征的光致发光成像
Pub Date : 2022-05-02 DOI: 10.1109/asmc54647.2022.9792524
R. Duru, I. Mica, J. Frascaroli, P. Bellanger
Room temperature photoluminescence imaging is assessed in industrial environment to detect slip line formation on 300 mm resistive silicon wafers used for Insulated Gate Bipolar Transistor (IGBT) technology and to characterize associated dislocations. The technique, with a combined use of macro and micro scale acquisitions, enables inline detection, characterization, and quantification of defects, allowing efficient process optimization and production monitoring.
在工业环境中评估室温光致发光成像,以检测用于绝缘栅双极晶体管(IGBT)技术的300毫米电阻硅晶圆上的滑移线形成,并表征相关的位错。该技术结合了宏观和微观尺度的采集,实现了缺陷的在线检测、表征和量化,从而实现了高效的工艺优化和生产监控。
{"title":"Photoluminescence imaging for slip line detection and characterization in silicon substrates","authors":"R. Duru, I. Mica, J. Frascaroli, P. Bellanger","doi":"10.1109/asmc54647.2022.9792524","DOIUrl":"https://doi.org/10.1109/asmc54647.2022.9792524","url":null,"abstract":"Room temperature photoluminescence imaging is assessed in industrial environment to detect slip line formation on 300 mm resistive silicon wafers used for Insulated Gate Bipolar Transistor (IGBT) technology and to characterize associated dislocations. The technique, with a combined use of macro and micro scale acquisitions, enables inline detection, characterization, and quantification of defects, allowing efficient process optimization and production monitoring.","PeriodicalId":436890,"journal":{"name":"2022 33rd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC)","volume":"362 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-05-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133301617","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Combination of Mass Metrology with Scatterometry to obtain bottom Width of deep Trenches : AM: Advanced Metrology 质量计量与散射测量相结合获得深沟底宽:AM:先进的计量学
Pub Date : 2022-05-02 DOI: 10.1109/asmc54647.2022.9792504
M. Haberjahn, S. Srichandan, Yulia Polak, Georg Ehrentraut, Franz Heider
Three different in-line metrology techniques are combined to calculate the bottom width of trenches, 3μm and 42μm deep. CD-SEM gives the top critical dimension (CD) of trenches and scatterometry provides the trench depth. An average value for the trench bottom width or bottom CD is obtained from a mass loss determination with a pre- and post-etch measurement, in combination with the available top CD and depth values. This hybrid metrology approach has been demonstrated in case of the 3μm deep trench, where the conventional physical scatterometry modelling with rigorous coupled wave analysis (RCWA) is used to determine the trench depth and shape. The physical RCWA modelling is not applicable to the 42μm deep trenches with a pitch of >5μm. Hence, the proposed combined metrology method is verified with SEM cross-sections from wafers with different trench side-wall angles.
结合三种不同的在线测量技术,计算了3μm和42μm深的沟槽底部宽度。CD- sem给出了沟槽的顶临界维数(CD),散射测量提供了沟槽深度。沟槽底部宽度或底部CD的平均值是通过对蚀刻前后测量的质量损失测定,结合可用的顶部CD和深度值获得的。这种混合测量方法已经在3μm深的沟槽中进行了验证,其中使用传统的物理散射测量建模和严格耦合波分析(RCWA)来确定沟槽的深度和形状。物理RCWA模型不适用于间距大于5μm的42μm深沟槽。因此,采用不同沟槽侧壁角硅片的SEM截面验证了所提出的组合测量方法。
{"title":"Combination of Mass Metrology with Scatterometry to obtain bottom Width of deep Trenches : AM: Advanced Metrology","authors":"M. Haberjahn, S. Srichandan, Yulia Polak, Georg Ehrentraut, Franz Heider","doi":"10.1109/asmc54647.2022.9792504","DOIUrl":"https://doi.org/10.1109/asmc54647.2022.9792504","url":null,"abstract":"Three different in-line metrology techniques are combined to calculate the bottom width of trenches, 3μm and 42μm deep. CD-SEM gives the top critical dimension (CD) of trenches and scatterometry provides the trench depth. An average value for the trench bottom width or bottom CD is obtained from a mass loss determination with a pre- and post-etch measurement, in combination with the available top CD and depth values. This hybrid metrology approach has been demonstrated in case of the 3μm deep trench, where the conventional physical scatterometry modelling with rigorous coupled wave analysis (RCWA) is used to determine the trench depth and shape. The physical RCWA modelling is not applicable to the 42μm deep trenches with a pitch of >5μm. Hence, the proposed combined metrology method is verified with SEM cross-sections from wafers with different trench side-wall angles.","PeriodicalId":436890,"journal":{"name":"2022 33rd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC)","volume":"9 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-05-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116434493","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Root Cause Identification and Mitigation of Polysilicon CMP Underpolish Increase in DRAM Manufacturing DRAM制造中多晶硅CMP下抛光增加的根本原因识别和缓解
Pub Date : 2022-05-02 DOI: 10.1109/asmc54647.2022.9792484
Russell McCabe, R. Chaudhuri, S. Spangler, Russ Heller, A. Tahraoui, Emmett Synder, W. Simpson, Michael Frachel, Glen Martin
A systematic approach for root cause identification and mitigation of poly CMP underpolish increase in high volume manufacturing is presented. Different aspects of product quality and yield enhancement has been highlighted utilizing appropriate inline metrology and wafer probe data.
提出了一种系统的方法来识别和减少大批量生产中聚CMP下抛光增加的根本原因。利用适当的在线计量和晶圆探头数据,强调了产品质量和良率提高的不同方面。
{"title":"Root Cause Identification and Mitigation of Polysilicon CMP Underpolish Increase in DRAM Manufacturing","authors":"Russell McCabe, R. Chaudhuri, S. Spangler, Russ Heller, A. Tahraoui, Emmett Synder, W. Simpson, Michael Frachel, Glen Martin","doi":"10.1109/asmc54647.2022.9792484","DOIUrl":"https://doi.org/10.1109/asmc54647.2022.9792484","url":null,"abstract":"A systematic approach for root cause identification and mitigation of poly CMP underpolish increase in high volume manufacturing is presented. Different aspects of product quality and yield enhancement has been highlighted utilizing appropriate inline metrology and wafer probe data.","PeriodicalId":436890,"journal":{"name":"2022 33rd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC)","volume":"8 2 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-05-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131037979","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
2022 33rd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1