首页 > 最新文献

2012 Symposium on VLSI Circuits (VLSIC)最新文献

英文 中文
A 22nm dynamically adaptive clock distribution for voltage droop tolerance 一种22nm动态自适应时钟分布,用于电压下垂公差
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243806
K. Bowman, Carlos Tokunaga, T. Karnik, V. De, J. Tschanz
A 22nm all-digital dynamically adaptive clock distribution mitigates the impact of high-frequency supply voltage (VCC) droops on microprocessor performance and energy efficiency. Silicon measurements demonstrate simultaneous throughput gains and energy reductions ranging from 14% and 3% at 1.0V to 31% and 15% at 0.6V, respectively, for a 10% VCC droop.
22nm全数字动态自适应时钟分布减轻了高频电源电压(VCC)下降对微处理器性能和能效的影响。硅测量表明,当电压降低10%时,在1.0V时,同时吞吐量提高14%,能耗降低3%,在0.6V时,分别降低31%和15%。
{"title":"A 22nm dynamically adaptive clock distribution for voltage droop tolerance","authors":"K. Bowman, Carlos Tokunaga, T. Karnik, V. De, J. Tschanz","doi":"10.1109/VLSIC.2012.6243806","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243806","url":null,"abstract":"A 22nm all-digital dynamically adaptive clock distribution mitigates the impact of high-frequency supply voltage (VCC) droops on microprocessor performance and energy efficiency. Silicon measurements demonstrate simultaneous throughput gains and energy reductions ranging from 14% and 3% at 1.0V to 31% and 15% at 0.6V, respectively, for a 10% VCC droop.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80866890","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 15
A new 3-bit programming algorithm using SLC-to-TLC migration for 8MB/s high performance TLC NAND flash memory 针对8MB/s高性能TLC NAND闪存,提出一种基于slc到TLC迁移的3位新编程算法
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243825
Seung-Hwan Shin, Dong-Kyo Shim, Jaeyong Jeong, O. Kwon, Sangyong Yoon, Myung-Hoon Choi, Tae-Young Kim, H. Park, Hyun-Jun Yoon, Youngsun Song, Yoon-Hee Choi, Sang-Won Shim, Yang-Lo Ahn, Ki-Tae Park, Jin-Man Han, K. Kyung, Young-Hyun Jun
We have developed a new 3-bit programming algorithm of high performance TLC(Triple-level-cell, 3-bit/cell) NAND flash memories for 20nm node and beyond. By using the proposed 3-bit algorithm based on reprogramming with SLC-to-TLC migration, performance and BER is improved by 50% and 68%, respectively, compared to conventional method. The proposed algorithm is successfully implemented in 21nm 64Gb TLC NAND flash product that provides 8MB/s write and 400MB/s read throughputs.
我们开发了一种新的用于20nm及以上节点的高性能TLC(triple -level cell, 3-bit/cell) NAND闪存的3位编程算法。采用基于slc到tlc迁移的3位重编程算法,性能和误码率分别比传统方法提高50%和68%。该算法已成功实现在21nm 64Gb TLC NAND闪存产品上,该产品具有8MB/s的写入吞吐量和400MB/s的读取吞吐量。
{"title":"A new 3-bit programming algorithm using SLC-to-TLC migration for 8MB/s high performance TLC NAND flash memory","authors":"Seung-Hwan Shin, Dong-Kyo Shim, Jaeyong Jeong, O. Kwon, Sangyong Yoon, Myung-Hoon Choi, Tae-Young Kim, H. Park, Hyun-Jun Yoon, Youngsun Song, Yoon-Hee Choi, Sang-Won Shim, Yang-Lo Ahn, Ki-Tae Park, Jin-Man Han, K. Kyung, Young-Hyun Jun","doi":"10.1109/VLSIC.2012.6243825","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243825","url":null,"abstract":"We have developed a new 3-bit programming algorithm of high performance TLC(Triple-level-cell, 3-bit/cell) NAND flash memories for 20nm node and beyond. By using the proposed 3-bit algorithm based on reprogramming with SLC-to-TLC migration, performance and BER is improved by 50% and 68%, respectively, compared to conventional method. The proposed algorithm is successfully implemented in 21nm 64Gb TLC NAND flash product that provides 8MB/s write and 400MB/s read throughputs.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90497790","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 40
1Gsearch/sec Ternary Content Addressable Memory compiler with silicon-aware Early-Predict Late-Correct single-ended sensing 具有硅感知的早预测晚正确单端传感的1Gsearch/sec三元内容可寻址内存编译器
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243817
I. Arsovski, Travis Hebig, Daniel Dobson, R. Wistort
A Ternary Content Addressable Memory (TCAM) uses a two phase search operation where early prediction on its pre-search results prematurely activates the subsequent main-search operation, which is later interrupted only if the final pre-search results contradict the early prediction. This early main-search activation improves performance by 30%, while the low-probability of a late-correct has a negligible power impact. This Early Predict Late Correct (EPLC) sensing enables a high-performance TCAM compiler implemented in 32nm High-K Metal Gate SOI process to achieve 1Gsearch/sec throughput on a 2048×640bit TCAM instance while consuming only 0.76W. Embedded Deep-Trench (DT) capacitance for power supply noise mitigation adds 5% overhead for a total TCAM area of 1.56mm2.
三元内容可寻址存储器(TCAM)使用两阶段搜索操作,其中对其预搜索结果的早期预测提前激活随后的主搜索操作,只有在最终预搜索结果与早期预测相矛盾时,主搜索操作才会中断。这种早期的主搜索激活可以提高30%的性能,而后期正确的低概率对功率的影响可以忽略不计。这种早期预测和后期校正(EPLC)传感使采用32nm高k金属门SOI工艺实现的高性能TCAM编译器能够在2048×640bit TCAM实例上实现1g搜索/秒的吞吐量,同时仅消耗0.76W。用于电源降噪的嵌入式深沟(DT)电容增加了5%的开销,总TCAM面积为1.56mm2。
{"title":"1Gsearch/sec Ternary Content Addressable Memory compiler with silicon-aware Early-Predict Late-Correct single-ended sensing","authors":"I. Arsovski, Travis Hebig, Daniel Dobson, R. Wistort","doi":"10.1109/VLSIC.2012.6243817","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243817","url":null,"abstract":"A Ternary Content Addressable Memory (TCAM) uses a two phase search operation where early prediction on its pre-search results prematurely activates the subsequent main-search operation, which is later interrupted only if the final pre-search results contradict the early prediction. This early main-search activation improves performance by 30%, while the low-probability of a late-correct has a negligible power impact. This Early Predict Late Correct (EPLC) sensing enables a high-performance TCAM compiler implemented in 32nm High-K Metal Gate SOI process to achieve 1Gsearch/sec throughput on a 2048×640bit TCAM instance while consuming only 0.76W. Embedded Deep-Trench (DT) capacitance for power supply noise mitigation adds 5% overhead for a total TCAM area of 1.56mm2.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89031814","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
A clock jitter reduction circuit using gated phase blending between self-delayed clock edges 一种在自延迟时钟边缘之间使用门控相位混合的时钟抖动减小电路
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243830
K. Niitsu, Naohiro Harigai, D. Hirabayashi, D. Oki, Masato Sakurai, O. Kobayashi, Takahiro J. Yamaguchi, Haruo Kobayashi
A clock jitter reduction circuit is presented that exploits the phase blending technique between the uncorrelated clock edges that are self-delayed by multiples of the clock cycle, nT. By blending uncorrelated clock edges, the output clock edges approach the ideal timing and, thus, timing jitter can be reduced by a factor of √2 per stage. There are three technical challenges to realize this: 1) generating uncorrelated clock edges, 2) phase averaging with small time offset from the ideal center position, and 3) minimizing the error in nT-delay being deviated from ideal nT. The proposed circuit overcomes each of these by exploiting an nT-delay, gated phase blending, and self-calibrated nT-delay elements, respectively. Measurement results with a 180-nm CMOS prototype chip demonstrated an approximately fourfold reduction in timing jitter from 30.2 ps to 8.8 ps in 500-MHz clock by cascading the proposed circuit with four-stages.
提出了一种时钟抖动减少电路,该电路利用了自延迟时钟周期nT的倍数的不相关时钟边缘之间的相位混合技术。通过混合不相关时钟边缘,输出时钟边缘接近理想定时,因此,每级可将定时抖动减少√2。实现这一目标有三个技术挑战:1)产生不相关的时钟边缘,2)与理想中心位置的时间偏移较小的相位平均,以及3)最大限度地减少nT-delay偏离理想nT的误差。所提出的电路分别通过利用nT-delay,门控相位混合和自校准nT-delay元件来克服这些问题。180nm CMOS原型芯片的测量结果表明,通过四级级联电路,在500-MHz时钟下,时序抖动从30.2 ps减少到8.8 ps,减少了大约四倍。
{"title":"A clock jitter reduction circuit using gated phase blending between self-delayed clock edges","authors":"K. Niitsu, Naohiro Harigai, D. Hirabayashi, D. Oki, Masato Sakurai, O. Kobayashi, Takahiro J. Yamaguchi, Haruo Kobayashi","doi":"10.1109/VLSIC.2012.6243830","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243830","url":null,"abstract":"A clock jitter reduction circuit is presented that exploits the phase blending technique between the uncorrelated clock edges that are self-delayed by multiples of the clock cycle, nT. By blending uncorrelated clock edges, the output clock edges approach the ideal timing and, thus, timing jitter can be reduced by a factor of √2 per stage. There are three technical challenges to realize this: 1) generating uncorrelated clock edges, 2) phase averaging with small time offset from the ideal center position, and 3) minimizing the error in nT-delay being deviated from ideal nT. The proposed circuit overcomes each of these by exploiting an nT-delay, gated phase blending, and self-calibrated nT-delay elements, respectively. Measurement results with a 180-nm CMOS prototype chip demonstrated an approximately fourfold reduction in timing jitter from 30.2 ps to 8.8 ps in 500-MHz clock by cascading the proposed circuit with four-stages.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90008775","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
135 GHz 98 mW 10 Gbps ASK transmitter and receiver chipset in 40 nm CMOS 135 GHz 98 mW 10 Gbps ASK发射器和接收器芯片组在40纳米CMOS
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243784
N. Ono, M. Motoyoshi, K. Takano, K. Katayama, R. Fujimoto, M. Fujishima
An ASK transmitter and receiver chipset using 40 nm CMOS technology for wireless communication systems is described, in which a maximum data rate of 10 Gbps and power consumption of 98.4 mW are obtained with a carrier frequency of 135 GHz. A simple circuit and a modulation method to reduce power consumption are selected for the chipsets. To realize multi-gigabit wireless communication, the receiver is designed with consideration of the group delay optimization.
介绍了一种采用40nm CMOS技术的无线通信系统ASK收发芯片,在135ghz载波频率下,最大数据速率为10gbps,功耗为98.4 mW。为芯片组选择了一种降低功耗的简单电路和调制方法。为了实现多千兆无线通信,接收机的设计考虑了群时延优化。
{"title":"135 GHz 98 mW 10 Gbps ASK transmitter and receiver chipset in 40 nm CMOS","authors":"N. Ono, M. Motoyoshi, K. Takano, K. Katayama, R. Fujimoto, M. Fujishima","doi":"10.1109/VLSIC.2012.6243784","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243784","url":null,"abstract":"An ASK transmitter and receiver chipset using 40 nm CMOS technology for wireless communication systems is described, in which a maximum data rate of 10 Gbps and power consumption of 98.4 mW are obtained with a carrier frequency of 135 GHz. A simple circuit and a modulation method to reduce power consumption are selected for the chipsets. To realize multi-gigabit wireless communication, the receiver is designed with consideration of the group delay optimization.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79419949","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 31
A shorted global clock design for multi-GHz 3D stacked chips 多ghz 3D堆叠芯片的短路全局时钟设计
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243844
L. Pang, P. Restle, M. Wordeman, J. Silberman, R. Franch, G. Maier
A global clock distribution technique for 3D stacked chips where the clock tree and grid are shorted between strata is presented and compared with a DLL-based technique. Both permit at-speed testing of the strata before and after stack assembly. The shorting-based technique is implemented in a 2-strata eDRAM test chip using an IBM 45nm SOI 3D technology. Operation above 2.5GHz is measured.
提出了一种时钟树和网格在各层之间缩短的三维堆叠芯片全局时钟分布技术,并与基于dll的技术进行了比较。两者都允许在叠层装配前后对地层进行高速测试。这种基于短路的技术是在采用IBM 45nm SOI 3D技术的2层eDRAM测试芯片中实现的。测量2.5GHz以上的工作频率。
{"title":"A shorted global clock design for multi-GHz 3D stacked chips","authors":"L. Pang, P. Restle, M. Wordeman, J. Silberman, R. Franch, G. Maier","doi":"10.1109/VLSIC.2012.6243844","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243844","url":null,"abstract":"A global clock distribution technique for 3D stacked chips where the clock tree and grid are shorted between strata is presented and compared with a DLL-based technique. Both permit at-speed testing of the strata before and after stack assembly. The shorting-based technique is implemented in a 2-strata eDRAM test chip using an IBM 45nm SOI 3D technology. Operation above 2.5GHz is measured.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84147863","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
A 0.6V 2.9µW mixed-signal front-end for ECG monitoring 用于心电监护的0.6V 2.9µW混合信号前端
Pub Date : 2012-06-01 DOI: 10.1109/VLSIC.2012.6243792
Marcus Yip, J. Bohorquez, A. Chandrakasan
This paper presents a mixed-signal ECG front-end that uses aggressive voltage scaling to maximize power-efficiency and facilitate integration with low-voltage DSPs. 50/60Hz interference is canceled using mixed-signal feedback, enabling ultra-low-voltage operation by reducing dynamic range requirements. Analog circuits are optimized for ultra-low-voltage, and a SAR ADC with a dual-DAC architecture eliminates the need for a power-hungry ADC buffer. Oversampling and ΔΣ-modulation leveraging near-VT digital processing are used to achieve ultra-low-power operation without sacrificing noise performance and dynamic range. The fully-integrated front-end is implemented in a 0.18μm CMOS process and consumes 2.9μW from 0.6V.
本文提出了一种混合信号心电前端,它使用积极的电压缩放来最大限度地提高功率效率,并促进与低压dsp的集成。使用混合信号反馈消除50/60Hz干扰,通过降低动态范围要求实现超低电压操作。模拟电路针对超低电压进行了优化,采用双dac架构的SAR ADC消除了对耗电的ADC缓冲器的需求。过采样和ΔΣ-modulation利用近vt数字处理,在不牺牲噪声性能和动态范围的情况下实现超低功耗操作。完全集成的前端采用0.18μm CMOS工艺,功耗为2.9μW,电压为0.6V。
{"title":"A 0.6V 2.9µW mixed-signal front-end for ECG monitoring","authors":"Marcus Yip, J. Bohorquez, A. Chandrakasan","doi":"10.1109/VLSIC.2012.6243792","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243792","url":null,"abstract":"This paper presents a mixed-signal ECG front-end that uses aggressive voltage scaling to maximize power-efficiency and facilitate integration with low-voltage DSPs. 50/60Hz interference is canceled using mixed-signal feedback, enabling ultra-low-voltage operation by reducing dynamic range requirements. Analog circuits are optimized for ultra-low-voltage, and a SAR ADC with a dual-DAC architecture eliminates the need for a power-hungry ADC buffer. Oversampling and ΔΣ-modulation leveraging near-VT digital processing are used to achieve ultra-low-power operation without sacrificing noise performance and dynamic range. The fully-integrated front-end is implemented in a 0.18μm CMOS process and consumes 2.9μW from 0.6V.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76502469","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 22
期刊
2012 Symposium on VLSI Circuits (VLSIC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1