首页 > 最新文献

2012 Symposium on VLSI Circuits (VLSIC)最新文献

英文 中文
An event-driven, alias-free ADC with signal-dependent resolution 一个事件驱动的无别名ADC,具有信号依赖的分辨率
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243773
Colin Weltin-Wu, Y. Tsividis
A clockless 8b ADC in 130nm CMOS uses a time-varying comparison window to dynamically vary resolution, and input-dependent dynamic bias, to maintain SNDR while saving power. Alias-free operation with SNDR in the range of 47-54dB, which partly exceeds the theoretical limit of 8b conventional converters, is achieved over a 20kHz bandwidth with 3-9μW power from a 0.8V supply.
130nm CMOS的无时钟8b ADC采用时变比较窗口来动态改变分辨率和输入相关的动态偏置,在保持SNDR的同时节省功耗。在20kHz带宽和3-9μW功率下,在0.8V电源下实现了47-54dB范围内的无误操作,SNDR部分超过了传统转换器8b的理论极限。
{"title":"An event-driven, alias-free ADC with signal-dependent resolution","authors":"Colin Weltin-Wu, Y. Tsividis","doi":"10.1109/VLSIC.2012.6243773","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243773","url":null,"abstract":"A clockless 8b ADC in 130nm CMOS uses a time-varying comparison window to dynamically vary resolution, and input-dependent dynamic bias, to maintain SNDR while saving power. Alias-free operation with SNDR in the range of 47-54dB, which partly exceeds the theoretical limit of 8b conventional converters, is achieved over a 20kHz bandwidth with 3-9μW power from a 0.8V supply.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90565847","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 28
A 1.2V 8.3nJ energy-efficient CMOS humidity sensor for RFID applications 一种用于RFID应用的1.2V 8.3nJ节能CMOS湿度传感器
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243771
Z. Tan, Youngcheol Chae, R. Daamen, A. Humbert, Youri Ponomarev, M. Pertijs
A CMOS fully-integrated humidity sensor for a RFID sensor platform has been realized in 0.16μm CMOS technology. It consists of a top-metal finger capacitor, covered by a humidity-sensitive polyimide layer, and an energy-efficient inverter-based capacitance-to-digital converter (CDC). Measurements show that the CDC performs a 12.5-bit conversion in 0.8ms while consuming only 8.6μA from a 1.2V supply. Together with the co-integrated humidity sensor, this translates into a resolution of 0.05% RH in the range of 30% RH to 90% RH, at an energy consumption of only 8.3nJ per measurement.
采用0.16μm CMOS技术,实现了用于RFID传感器平台的CMOS全集成湿度传感器。它由一个顶部金属手指电容器组成,上面覆盖着一层湿度敏感的聚酰亚胺层,以及一个基于节能逆变器的电容-数字转换器(CDC)。测量表明,CDC在0.8ms内完成12.5位转换,同时从1.2V电源中仅消耗8.6μA。与协集成湿度传感器一起,在30% RH至90% RH的范围内,这转化为0.05% RH的分辨率,每次测量的能耗仅为8.3nJ。
{"title":"A 1.2V 8.3nJ energy-efficient CMOS humidity sensor for RFID applications","authors":"Z. Tan, Youngcheol Chae, R. Daamen, A. Humbert, Youri Ponomarev, M. Pertijs","doi":"10.1109/VLSIC.2012.6243771","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243771","url":null,"abstract":"A CMOS fully-integrated humidity sensor for a RFID sensor platform has been realized in 0.16μm CMOS technology. It consists of a top-metal finger capacitor, covered by a humidity-sensitive polyimide layer, and an energy-efficient inverter-based capacitance-to-digital converter (CDC). Measurements show that the CDC performs a 12.5-bit conversion in 0.8ms while consuming only 8.6μA from a 1.2V supply. Together with the co-integrated humidity sensor, this translates into a resolution of 0.05% RH in the range of 30% RH to 90% RH, at an energy consumption of only 8.3nJ per measurement.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87399271","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 27
A 260 GHz fully integrated CMOS transceiver for wireless chip-to-chip communication 一个260 GHz完全集成的CMOS收发器,用于无线芯片对芯片通信
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243783
Jung‐Dong Park, Shinwon Kang, S. V. Thyagarajan, E. Alon, A. Niknejad
A fully integrated 260GHz OOK transceiver is demonstrated in 65nm CMOS. Communication at 10Gb/s has been verified over a range of 40 mm. The Tx/Rx dual on-chip antenna array is implemented with half-width leaky wave antennas. Each Tx consists of a quadrupler driven by a class-D-1 PA with a distributed OOK modulator, and outputs +5 dBm of EIRP. The Rx uses a double balanced mixer to down-convert to a V-band IF signal that is amplified with a wideband IF driver and demoduated on-chip.
在65nm CMOS中演示了完全集成的260GHz OOK收发器。在40毫米范围内验证了10Gb/s的通信。Tx/Rx双片上天线阵列采用半宽漏波天线实现。每个Tx由一个带有分布式OOK调制器的d -1类PA驱动的四倍器组成,输出+5 dBm的EIRP。Rx使用双平衡混频器向下转换为v波段中频信号,该信号通过宽带中频驱动器放大并在片上解调。
{"title":"A 260 GHz fully integrated CMOS transceiver for wireless chip-to-chip communication","authors":"Jung‐Dong Park, Shinwon Kang, S. V. Thyagarajan, E. Alon, A. Niknejad","doi":"10.1109/VLSIC.2012.6243783","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243783","url":null,"abstract":"A fully integrated 260GHz OOK transceiver is demonstrated in 65nm CMOS. Communication at 10Gb/s has been verified over a range of 40 mm. The Tx/Rx dual on-chip antenna array is implemented with half-width leaky wave antennas. Each Tx consists of a quadrupler driven by a class-D-1 PA with a distributed OOK modulator, and outputs +5 dBm of EIRP. The Rx uses a double balanced mixer to down-convert to a V-band IF signal that is amplified with a wideband IF driver and demoduated on-chip.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90047640","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 169
A fully-digital phase-locked low dropout regulator in 32nm CMOS 32nm CMOS全数字锁相低差稳压器
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243833
A. Raychowdhury, D. Somasekhar, J. Tschanz, V. De
A fully-digital phase-locked low dropout regulator (LDO) has been designed in 32nm CMOS for fine-grained power delivery to multi-Vcc digital circuits. Measurements across a wide range of input voltages and currents exhibit that the LDO offers excellent load regulation and efficiency close to 97% of ideal efficiency at nominal load current conditions (ILOAD=3mA).
采用32nm CMOS设计了一种全数字锁相低差稳压器(LDO),用于向多vcc数字电路提供细粒度功率。在广泛的输入电压和电流范围内的测量表明,LDO具有出色的负载调节能力,在标称负载电流条件下(ILOAD=3mA),其效率接近理想效率的97%。
{"title":"A fully-digital phase-locked low dropout regulator in 32nm CMOS","authors":"A. Raychowdhury, D. Somasekhar, J. Tschanz, V. De","doi":"10.1109/VLSIC.2012.6243833","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243833","url":null,"abstract":"A fully-digital phase-locked low dropout regulator (LDO) has been designed in 32nm CMOS for fine-grained power delivery to multi-Vcc digital circuits. Measurements across a wide range of input voltages and currents exhibit that the LDO offers excellent load regulation and efficiency close to 97% of ideal efficiency at nominal load current conditions (ILOAD=3mA).","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82950439","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
A 7b, 3.75ps resolution two-step time-to-digital converter in 65nm CMOS using pulse-train time amplifier 一个7b, 3.75ps分辨率的65纳米CMOS两步时间-数字转换器,使用脉冲序列时间放大器
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243855
KwangSeok Kim, Young-Hwa Kim, Wonsik Yu, Seonghwan Cho
This paper presents a time-to-digital converter (TDC) using a novel pulse-train time amplifier. The proposed TDC exploits repetitive pulses with gated delay-lines for a calibration-free and programmable time amplification and quantization. Using this circuit, a 7-bit two-step time-to-digital converter is implemented. The prototype chip fabricated in 65nm CMOS process achieves 3.75ps of time resolution at 200Msps while consuming 3.6mW and occupying 0.02mm2.
提出了一种采用新型脉冲串时间放大器的时间-数字转换器(TDC)。所提出的TDC利用具有门控延迟线的重复脉冲进行免校准和可编程的时间放大和量化。利用该电路实现了一个7位两步时间-数字转换器。采用65nm CMOS工艺制作的原型芯片在200Msps下,功耗3.6mW,占用0.02mm2,时间分辨率为3.75ps。
{"title":"A 7b, 3.75ps resolution two-step time-to-digital converter in 65nm CMOS using pulse-train time amplifier","authors":"KwangSeok Kim, Young-Hwa Kim, Wonsik Yu, Seonghwan Cho","doi":"10.1109/VLSIC.2012.6243855","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243855","url":null,"abstract":"This paper presents a time-to-digital converter (TDC) using a novel pulse-train time amplifier. The proposed TDC exploits repetitive pulses with gated delay-lines for a calibration-free and programmable time amplification and quantization. Using this circuit, a 7-bit two-step time-to-digital converter is implemented. The prototype chip fabricated in 65nm CMOS process achieves 3.75ps of time resolution at 200Msps while consuming 3.6mW and occupying 0.02mm2.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83550258","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 55
A 32.4 ppm/°C 3.2-1.6V self-chopped relaxation oscillator with adaptive supply generation 一个32.4 ppm/°C 3.2-1.6V自切碎弛豫振荡器,具有自适应电源产生
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243766
Keng-Jan Hsiao
A self-chopped relaxation oscillator with adaptive supply generation provides the stable output clock against variations in temperature and supply voltages. The frequency drift is less than ±0.1% for the supply voltage changing from 1.6 to 3.2 V and ±0.1% for a temperature range from -20 to 100°C, which is reduced by 83% with the self-chopped technique. This relaxation oscillator is implemented in a 60-nm CMOS technology with its active area equals to 0.048 mm2. It consumes 2.8 uA from a 1.6-V supply.
具有自适应电源产生的自斩波弛豫振荡器提供稳定的输出时钟,以抵抗温度和电源电压的变化。当电源电压在1.6 ~ 3.2 V范围内变化时,频率漂移小于±0.1%,当温度在-20 ~ 100℃范围内变化时,频率漂移小于±0.1%,采用自斩波技术后,频率漂移减小了83%。该弛豫振荡器采用60纳米CMOS技术实现,其有源面积为0.048 mm2。它从1.6 v电源消耗2.8 uA。
{"title":"A 32.4 ppm/°C 3.2-1.6V self-chopped relaxation oscillator with adaptive supply generation","authors":"Keng-Jan Hsiao","doi":"10.1109/VLSIC.2012.6243766","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243766","url":null,"abstract":"A self-chopped relaxation oscillator with adaptive supply generation provides the stable output clock against variations in temperature and supply voltages. The frequency drift is less than ±0.1% for the supply voltage changing from 1.6 to 3.2 V and ±0.1% for a temperature range from -20 to 100°C, which is reduced by 83% with the self-chopped technique. This relaxation oscillator is implemented in a 60-nm CMOS technology with its active area equals to 0.048 mm2. It consumes 2.8 uA from a 1.6-V supply.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83471735","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 66
A 0.41µA standby leakage 32Kb embedded SRAM with Low-Voltage resume-standby utilizing all digital current comparator in 28nm HKMG CMOS 一个0.41µA待机泄漏32Kb嵌入式SRAM,采用28nm HKMG CMOS全数字电流比较器,具有低压恢复待机功能
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243788
N. Maeda, S. Komatsu, M. Morimoto, Y. Shimazaki
A high-performance and low-leakage current embedded SRAM for mobile phones is proposed. The proposed SRAM has a low-voltage resume-standby mode to reduce the standby leakage. An all digital current comparator is also proposed to choose a suitable standby mode. A test chip was fabricated using 28 nm HKMG CMOS technology. The proposed 32 Kb SRAM achives 0.41 μA standby leakage which is half of the conventional value, with 420 ps access.
提出了一种高性能、低漏电流的手机嵌入式SRAM。所提出的SRAM具有低电压恢复-待机模式,以减少待机泄漏。还提出了一种全数字电流比较器,以选择合适的待机模式。采用28nm HKMG CMOS工艺制作了测试芯片。所提出的32 Kb SRAM在420 ps的访问下实现了0.41 μA的待机泄漏,是常规值的一半。
{"title":"A 0.41µA standby leakage 32Kb embedded SRAM with Low-Voltage resume-standby utilizing all digital current comparator in 28nm HKMG CMOS","authors":"N. Maeda, S. Komatsu, M. Morimoto, Y. Shimazaki","doi":"10.1109/VLSIC.2012.6243788","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243788","url":null,"abstract":"A high-performance and low-leakage current embedded SRAM for mobile phones is proposed. The proposed SRAM has a low-voltage resume-standby mode to reduce the standby leakage. An all digital current comparator is also proposed to choose a suitable standby mode. A test chip was fabricated using 28 nm HKMG CMOS technology. The proposed 32 Kb SRAM achives 0.41 μA standby leakage which is half of the conventional value, with 420 ps access.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88056502","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
A −70dBm-sensitivity 522Mbps 0.19nJ/bit-TX 0.43nJ/bit-RX transceiver for TransferJet™ SoC in 65nm CMOS - 70dbm灵敏度522Mbps 0.19nJ/bit-TX 0.43nJ/bit-RX收发器,用于TransferJet™SoC, 65nm CMOS
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243796
D. Miyashita, K. Agawa, H. Kajihara, K. Sami, Masaomi Iwanaga, Y. Ogasawara, Tomohiko Ito, Daisuke Kurose, N. Koide, Toru Hashimoto, H. Sakurai, T. Yamaji, T. Kurihara, Kazumi Sato, I. Seto, H. Yoshida, R. Fujimoto, Y. Unekawa
TransferJet™ is an emerging high-speed close-proximity wireless communication standard, which enables a data transfer of up to 522Mbps within a few centimeters range. We have developed a fully integrated TransferJet SoC with a 4.48-GHz operating frequency and a 560-MHz bandwidth (BW) using 65nm CMOS technology. Baseband filtering techniques for both a transmitter (TX) and a receiver (RX) are proposed to obtain a sensitivity of -70dBm with low power consumption. The SoC achieves an energy per bit of 0.19nJ/bit and 0.43nJ/bit for the TX and the RX, respectively, We have also built the world's smallest module prototype using the SoC, which is suitable for small mobile devices.
TransferJet™是一种新兴的高速近距离无线通信标准,可在几厘米范围内实现高达522Mbps的数据传输。我们开发了一款完全集成的TransferJet SoC,使用65nm CMOS技术,工作频率为4.48 ghz,带宽为560 mhz (BW)。提出了发射机(TX)和接收机(RX)的基带滤波技术,以获得-70dBm的低功耗灵敏度。对于TX和RX, SoC分别实现了0.19nJ/bit和0.43nJ/bit的每比特能量,我们还使用SoC构建了世界上最小的模块原型,适用于小型移动设备。
{"title":"A −70dBm-sensitivity 522Mbps 0.19nJ/bit-TX 0.43nJ/bit-RX transceiver for TransferJet™ SoC in 65nm CMOS","authors":"D. Miyashita, K. Agawa, H. Kajihara, K. Sami, Masaomi Iwanaga, Y. Ogasawara, Tomohiko Ito, Daisuke Kurose, N. Koide, Toru Hashimoto, H. Sakurai, T. Yamaji, T. Kurihara, Kazumi Sato, I. Seto, H. Yoshida, R. Fujimoto, Y. Unekawa","doi":"10.1109/VLSIC.2012.6243796","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243796","url":null,"abstract":"TransferJet™ is an emerging high-speed close-proximity wireless communication standard, which enables a data transfer of up to 522Mbps within a few centimeters range. We have developed a fully integrated TransferJet SoC with a 4.48-GHz operating frequency and a 560-MHz bandwidth (BW) using 65nm CMOS technology. Baseband filtering techniques for both a transmitter (TX) and a receiver (RX) are proposed to obtain a sensitivity of -70dBm with low power consumption. The SoC achieves an energy per bit of 0.19nJ/bit and 0.43nJ/bit for the TX and the RX, respectively, We have also built the world's smallest module prototype using the SoC, which is suitable for small mobile devices.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73318068","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
A 13.8pJ/Access/Mbit SRAM with charge collector circuits for effective use of non-selected bit line charges 带电荷收集器电路的13.8pJ/Access/Mbit SRAM,可有效地利用非选择的位线电荷
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243789
S. Moriwaki, Yasuhiro Yamamoto, A. Kawasumi, Toshikazu Suzuki, S. Miyano, T. Sakurai, H. Shinohara
1Mb SRAM with charge collector circuits for effective use of non-selected bit line charges has been fabricated in 40nm technology. These circuits reduce two major wasted power sources of the low voltage SRAM: excess bit line swing due to random variation and bit line swing of non-selected columns. The lowest power consumption of 13.8pJ/Access/Mbit in the previous works has been achieved.
采用40nm技术制备了1Mb SRAM,该SRAM具有有效利用非选择位线电荷的电荷收集器电路。这些电路减少了低压SRAM的两个主要功率浪费源:随机变化引起的多余位线摆动和非选择列的位线摆动。实现了以往工作中13.8pJ/Access/Mbit的最低功耗。
{"title":"A 13.8pJ/Access/Mbit SRAM with charge collector circuits for effective use of non-selected bit line charges","authors":"S. Moriwaki, Yasuhiro Yamamoto, A. Kawasumi, Toshikazu Suzuki, S. Miyano, T. Sakurai, H. Shinohara","doi":"10.1109/VLSIC.2012.6243789","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243789","url":null,"abstract":"1Mb SRAM with charge collector circuits for effective use of non-selected bit line charges has been fabricated in 40nm technology. These circuits reduce two major wasted power sources of the low voltage SRAM: excess bit line swing due to random variation and bit line swing of non-selected columns. The lowest power consumption of 13.8pJ/Access/Mbit in the previous works has been achieved.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89582445","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
An integral path self-calibration scheme for a 20.1–26.7GHz dual-loop PLL in 32nm SOI CMOS 基于32nm SOI CMOS的20.1-26.7GHz双环锁相环积分路径自校准方案
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243847
M. Ferriss, J. Plouchart, A. Natarajan, A. Rylyakov, B. Parker, A. Babakhani, Soner Yaldiz, B. Sadhu, A. Valdes-Garcia, J. Tierno, D. Friedman
A bandwidth self-calibration scheme is introduced as part of a 20.1GHz to 26.7GHz, low noise PLL in 32nm CMOS SOI. A dual-loop architecture in combination with an integral path measurement and correction scheme desensitizes the loop transfer function to the VCO's small signal gain variations. The spread of gain peaking is reduced by self-calibration from 2.4dB to 1dB, when measured at 70 sites on a 300mm wafer. The PLL has a measured phase noise @10MHz offset of -126.5dBc/Hz at 20.1GHz.
介绍了一种带宽自校准方案,作为32nm CMOS SOI中20.1GHz至26.7GHz低噪声锁相环的一部分。双环结构与积分路径测量和校正方案相结合,使环路传递函数对压控振荡器的小信号增益变化不敏感。当在300mm晶圆上的70个位置测量时,增益峰值的扩散通过自校准从2.4dB减少到1dB。锁相环在20.1GHz时的相位噪声测量值为-126.5dBc/Hz。
{"title":"An integral path self-calibration scheme for a 20.1–26.7GHz dual-loop PLL in 32nm SOI CMOS","authors":"M. Ferriss, J. Plouchart, A. Natarajan, A. Rylyakov, B. Parker, A. Babakhani, Soner Yaldiz, B. Sadhu, A. Valdes-Garcia, J. Tierno, D. Friedman","doi":"10.1109/VLSIC.2012.6243847","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243847","url":null,"abstract":"A bandwidth self-calibration scheme is introduced as part of a 20.1GHz to 26.7GHz, low noise PLL in 32nm CMOS SOI. A dual-loop architecture in combination with an integral path measurement and correction scheme desensitizes the loop transfer function to the VCO's small signal gain variations. The spread of gain peaking is reduced by self-calibration from 2.4dB to 1dB, when measured at 70 sites on a 300mm wafer. The PLL has a measured phase noise @10MHz offset of -126.5dBc/Hz at 20.1GHz.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84918429","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
期刊
2012 Symposium on VLSI Circuits (VLSIC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1