首页 > 最新文献

2012 Symposium on VLSI Circuits (VLSIC)最新文献

英文 中文
A 0.13µm 8Mb logic based CuxSiyO resistive memory with self-adaptive yield enhancement and operation power reduction 一种基于0.13µm 8Mb逻辑的CuxSiyO电阻式存储器,具有自适应良率提高和运行功耗降低
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243780
X. Xue, Wenxiang Jian, Jianguo Yang, F. Xiao, Gang Chen, X. L. Xu, Yufeng Xie, Yinyin Lin, R. Huang, Qingtian Zhou, Jingang Wu
A 0.13μm 8Mb CuxSiyO resistive memory test macro with 20F2 cell size is developed based on logic process for the first time. Smart and adaptive assist write and read circuit are proposed and verified in order to fix yield and power consumption issues from large write speed and high temperature resistance variation. SAWM (self-adaptive write mode) helps to enlarge Roff/Ron window from 8X to 24X at room temperature. The reset bit yield is improved from 61.5% to 100% and large power consumption is eliminated after set success. SARM (Self-adaptive read mode) improves read bit yield from 98% to 100% at 125°C. The typical access time of on-pitch voltage sensing SA(sense amplifier) is 21ns and high bandwidth throughput is supported.
首次开发了基于逻辑工艺的20F2单元尺寸的0.13μm 8Mb CuxSiyO阻性内存测试宏。提出并验证了智能和自适应辅助读写电路,以解决大写入速度和高温电阻变化带来的产量和功耗问题。SAWM(自适应写入模式)有助于在室温下将Roff/Ron窗口从8倍放大到24倍。复位位率由61.5%提高到100%,复位成功后消除了较大的功耗。在125°C时,SARM(自适应读取模式)将读比特率从98%提高到100%。单节距电压感测放大器的典型访问时间为21ns,支持高带宽吞吐量。
{"title":"A 0.13µm 8Mb logic based CuxSiyO resistive memory with self-adaptive yield enhancement and operation power reduction","authors":"X. Xue, Wenxiang Jian, Jianguo Yang, F. Xiao, Gang Chen, X. L. Xu, Yufeng Xie, Yinyin Lin, R. Huang, Qingtian Zhou, Jingang Wu","doi":"10.1109/VLSIC.2012.6243780","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243780","url":null,"abstract":"A 0.13μm 8Mb CuxSiyO resistive memory test macro with 20F2 cell size is developed based on logic process for the first time. Smart and adaptive assist write and read circuit are proposed and verified in order to fix yield and power consumption issues from large write speed and high temperature resistance variation. SAWM (self-adaptive write mode) helps to enlarge Roff/Ron window from 8X to 24X at room temperature. The reset bit yield is improved from 61.5% to 100% and large power consumption is eliminated after set success. SARM (Self-adaptive read mode) improves read bit yield from 98% to 100% at 125°C. The typical access time of on-pitch voltage sensing SA(sense amplifier) is 21ns and high bandwidth throughput is supported.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75826392","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 31
A 635pW battery voltage supervisory circuit for miniature sensor nodes 用于微型传感器节点的635pW电池电压监控电路
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243860
Inhee Lee, S. Bang, Yoonmyung Lee, Yejoong Kim, Gyouho Kim, D. Sylvester, D. Blaauw
We propose a low power battery voltage supervisory circuit for micro-scale sensor systems that provides power-on reset, brown-out detection, and recovery detection to prevent malfunction and battery damage. Ultra-low power is achieved using a 57 pA, fast stabilizing two-stage voltage reference and an 81 pA leakage-based oscillator and clocked comparator. The supervisor was fabricated in 180 nm CMOS and integrated with a complete 1 mm3 sensor system. It consumes 635 pW at 3.6 V supply voltage, which is an 850× reduction over the best prior work.
我们提出了一种用于微尺度传感器系统的低功率电池电压监控电路,该电路提供上电复位、断电检测和恢复检测,以防止故障和电池损坏。超低功耗采用57 pA快速稳定两级基准电压和81 pA基于泄漏的振荡器和时钟比较器实现。监控器采用180nm CMOS工艺制造,并集成了一个完整的1mm3传感器系统。它在3.6 V电源电压下消耗635 pW,比之前最好的工作降低了850倍。
{"title":"A 635pW battery voltage supervisory circuit for miniature sensor nodes","authors":"Inhee Lee, S. Bang, Yoonmyung Lee, Yejoong Kim, Gyouho Kim, D. Sylvester, D. Blaauw","doi":"10.1109/VLSIC.2012.6243860","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243860","url":null,"abstract":"We propose a low power battery voltage supervisory circuit for micro-scale sensor systems that provides power-on reset, brown-out detection, and recovery detection to prevent malfunction and battery damage. Ultra-low power is achieved using a 57 pA, fast stabilizing two-stage voltage reference and an 81 pA leakage-based oscillator and clocked comparator. The supervisor was fabricated in 180 nm CMOS and integrated with a complete 1 mm3 sensor system. It consumes 635 pW at 3.6 V supply voltage, which is an 850× reduction over the best prior work.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72956706","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
A wirelessly powered log-based closed-loop deep brain stimulation SoC with two-way wireless telemetry for treatment of neurological disorders 一种无线供电的基于日志的闭环脑深部刺激SoC,具有双向无线遥测技术,用于治疗神经系统疾病
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243794
Hyo-Gyuem Rhew, J. Jeong, Jeffrey Fredenburg, Sunjay Dodani, Parag G. Patil, M. Flynn
A log-based closed-loop Deep Brain Stimulation system detects and processes low-frequency brain field signals to optimize stimulation parameters. The fully self-contained single-chip system incorporates LNAs, a log-ADC, digital log-filters, a log-DSP with a PI-controller, current stimulators, a two-way wireless transceiver, a clock generator, and an RF energy harvester. The 2×2mm2 180nm CMOS prototype consumes 468μW for recording and processing neural signals, stimulation, and for two-way wireless communication.
基于日志的闭环脑深部刺激系统检测和处理低频脑场信号,以优化刺激参数。完全独立的单芯片系统包括lna、对数adc、数字对数滤波器、带pi控制器的对数dsp、电流刺激器、双向无线收发器、时钟发生器和射频能量采集器。2×2mm2 180nm CMOS原型机用于记录和处理神经信号、刺激和双向无线通信,功耗为468μW。
{"title":"A wirelessly powered log-based closed-loop deep brain stimulation SoC with two-way wireless telemetry for treatment of neurological disorders","authors":"Hyo-Gyuem Rhew, J. Jeong, Jeffrey Fredenburg, Sunjay Dodani, Parag G. Patil, M. Flynn","doi":"10.1109/VLSIC.2012.6243794","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243794","url":null,"abstract":"A log-based closed-loop Deep Brain Stimulation system detects and processes low-frequency brain field signals to optimize stimulation parameters. The fully self-contained single-chip system incorporates LNAs, a log-ADC, digital log-filters, a log-DSP with a PI-controller, current stimulators, a two-way wireless transceiver, a clock generator, and an RF energy harvester. The 2×2mm2 180nm CMOS prototype consumes 468μW for recording and processing neural signals, stimulation, and for two-way wireless communication.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80230173","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
A 61.5dB SNDR pipelined ADC using simple highly-scalable ring amplifiers 一个61.5dB SNDR的流水线ADC,使用简单的高可扩展环形放大器
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243775
B. Hershberg, S. Weaver, Kazuki Sobue, S. Takeuchi, K. Hamashita, U. Moon
A ring amplifier based pipelined ADC is presented that uses simple cells constructed from small inverters and capacitors to perform amplification. The basic ring amplifier structure is characterized and demonstrated to be highly scalable, power efficient, and compression-immune (inherent rail-to-rail output swing). The prototype 10.5-bit ADC, fabricated in 0.18μm CMOS technology, achieves 61.5dB SNDR at a 30MHz sampling rate and consumes 2.6mW, resulting in a FoM of 90fJ/conversion-step.
提出了一种基于环形放大器的流水线ADC,它使用由小型逆变器和电容器组成的简单单元来进行放大。基本环形放大器结构的特点是高度可扩展,功率效率高,抗压缩(固有轨对轨输出摆动)。该原型10.5位ADC采用0.18μm CMOS技术,在30MHz采样率下实现61.5dB SNDR,功耗为2.6mW, FoM为90fJ/转换步长。
{"title":"A 61.5dB SNDR pipelined ADC using simple highly-scalable ring amplifiers","authors":"B. Hershberg, S. Weaver, Kazuki Sobue, S. Takeuchi, K. Hamashita, U. Moon","doi":"10.1109/VLSIC.2012.6243775","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243775","url":null,"abstract":"A ring amplifier based pipelined ADC is presented that uses simple cells constructed from small inverters and capacitors to perform amplification. The basic ring amplifier structure is characterized and demonstrated to be highly scalable, power efficient, and compression-immune (inherent rail-to-rail output swing). The prototype 10.5-bit ADC, fabricated in 0.18μm CMOS technology, achieves 61.5dB SNDR at a 30MHz sampling rate and consumes 2.6mW, resulting in a FoM of 90fJ/conversion-step.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83102032","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 25
A 2.8GS/s 44.6mW time-interleaved ADC achieving 50.9dB SNDR and 3dB effective resolution bandwidth of 1.5GHz in 65nm CMOS 一个2.8GS/s 44.6mW时间交错ADC,实现50.9dB SNDR和3dB有效分辨率带宽为1.5GHz
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243801
D. Stepanovic, B. Nikolić
This paper presents a power- and area-efficient 24-way time-interleaved SAR ADC designed in 65nm CMOS. At 2.8GS/s sampling rate the ADC consumes 44.6mW of power from a 1.2V supply while achieving peak SNDR of 50.9dB and retaining SNDR higher than 48.2dB across the entire first Nyquist zone.
提出了一种采用65nm CMOS芯片设计的低功耗、低面积的24路时间交错SAR ADC。在2.8GS/s采样率下,ADC从1.2V电源消耗44.6mW功率,同时实现50.9dB的峰值SNDR,并在整个第一奈奎斯特区保持高于48.2dB的SNDR。
{"title":"A 2.8GS/s 44.6mW time-interleaved ADC achieving 50.9dB SNDR and 3dB effective resolution bandwidth of 1.5GHz in 65nm CMOS","authors":"D. Stepanovic, B. Nikolić","doi":"10.1109/VLSIC.2012.6243801","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243801","url":null,"abstract":"This paper presents a power- and area-efficient 24-way time-interleaved SAR ADC designed in 65nm CMOS. At 2.8GS/s sampling rate the ADC consumes 44.6mW of power from a 1.2V supply while achieving peak SNDR of 50.9dB and retaining SNDR higher than 48.2dB across the entire first Nyquist zone.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83118347","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 52
A 160-GHz receiver-based phase-locked loop in 65 nm CMOS technology 基于65nm CMOS技术的160ghz接收机锁相环
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243765
Wei-Zen Chen, Tai-You Lu, Yan-Ting Wang, Jhong-Ting Jian, Yi-Hung Yang, G. Huang, Wen-De Liu, Chih-Hua Hsiao, Shu-Yu Lin, Jung-Yen Liao
A 160-GHz receiver-based PLL with tuning range from 156.4 GHz to 159.2 GHz is presented. Sub-THz 1/9 prescaler is replaced by a 3rd harmonic mixer incorporating frequency tripler for frequency down conversion. Frequency acquisition is assisted by received signal strength indicator (RSSI) for automatically frequency sweeping and fast locking. The frequency locking time is less than 3 μsec. Fabricated in 65 nm CMOS technology, the chip size is 0.92mm2. This chip drains 24mW from a 1.2V power supply.
提出了一种基于160 GHz接收机的锁相环,调谐范围为156.4 GHz ~ 159.2 GHz。次太赫兹1/9预分频器被一个三次谐波混频器取代,该混频器采用频率三倍器进行频率下变频。频率采集辅助接收信号强度指示器(RSSI)自动扫频和快速锁定。锁频时间小于3 μsec。采用65纳米CMOS技术制造,芯片尺寸为0.92mm2。该芯片从1.2V电源中消耗24mW。
{"title":"A 160-GHz receiver-based phase-locked loop in 65 nm CMOS technology","authors":"Wei-Zen Chen, Tai-You Lu, Yan-Ting Wang, Jhong-Ting Jian, Yi-Hung Yang, G. Huang, Wen-De Liu, Chih-Hua Hsiao, Shu-Yu Lin, Jung-Yen Liao","doi":"10.1109/VLSIC.2012.6243765","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243765","url":null,"abstract":"A 160-GHz receiver-based PLL with tuning range from 156.4 GHz to 159.2 GHz is presented. Sub-THz 1/9 prescaler is replaced by a 3rd harmonic mixer incorporating frequency tripler for frequency down conversion. Frequency acquisition is assisted by received signal strength indicator (RSSI) for automatically frequency sweeping and fast locking. The frequency locking time is less than 3 μsec. Fabricated in 65 nm CMOS technology, the chip size is 0.92mm2. This chip drains 24mW from a 1.2V power supply.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84674331","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A 94GHz mm-wave to baseband pulsed-radar for imaging and gesture recognition 94GHz毫米波到基带脉冲雷达,用于成像和手势识别
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243787
A. Arbabian, Shinwon Kang, Steven Callender, Jun-Chau Chien, B. Afshar, A. Niknejad
An integrated phase-coherent and pixel-scalable pulsed-radar transceiver with on-chip tapered loop antennas generates programmable pulses down to 36ps using an integrated 94GHz carrier, frequency synthesized and locked to an external reference. A DLL controls the TX pulse position with 2.28ps resolution, which allows the chip to function as a unit element in a timed-array. The receiver also features a >;1.5THz GBW DA as the front-end amplifier, quadrature mixers, and a 26GHz quadrature baseband. Phase coherency allows for ~375μm single-target position resolution by interferometry.
集成相参和像素可扩展的脉冲雷达收发器,带有片上锥形环形天线,使用集成的94GHz载波,频率合成并锁定到外部参考,产生低至36ps的可编程脉冲。DLL以2.28ps的分辨率控制TX脉冲位置,这使得芯片可以作为定时阵列中的单元元件。接收器还具有一个>;1.5THz GBW DA作为前端放大器,正交混频器和26GHz正交基带。相位相干可实现~375μm的干涉单目标位置分辨率。
{"title":"A 94GHz mm-wave to baseband pulsed-radar for imaging and gesture recognition","authors":"A. Arbabian, Shinwon Kang, Steven Callender, Jun-Chau Chien, B. Afshar, A. Niknejad","doi":"10.1109/VLSIC.2012.6243787","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243787","url":null,"abstract":"An integrated phase-coherent and pixel-scalable pulsed-radar transceiver with on-chip tapered loop antennas generates programmable pulses down to 36ps using an integrated 94GHz carrier, frequency synthesized and locked to an external reference. A DLL controls the TX pulse position with 2.28ps resolution, which allows the chip to function as a unit element in a timed-array. The receiver also features a >;1.5THz GBW DA as the front-end amplifier, quadrature mixers, and a 26GHz quadrature baseband. Phase coherency allows for ~375μm single-target position resolution by interferometry.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77874542","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
A 6b 3GS/s 11mW fully dynamic flash ADC in 40nm CMOS with reduced number of comparators 6b 3GS/s 11mW全动态闪存ADC, 40nm CMOS,减少了比较器的数量
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243772
Yun-Shiang Shu
A 6b 3GS/s fully dynamic flash ADC is fabricated in 40nm CMOS and occupies 0.021mm2. Dynamic comparators with digitally controlled built-in offset are realized with imbalanced tails. Half of the comparators are substituted with simple SR latches. The ADC achieves SNDRs of 36.2dB and 33.1dB at DC and Nyquist, respectively, while consuming 11mW from a 1.1V supply.
6b 3GS/s全动态闪存ADC是在40nm CMOS上制造的,占地0.021mm2。采用不平衡尾实现了内置偏置数字控制的动态比较器。一半的比较器用简单的SR锁存器代替。该ADC在直流和奈奎斯特电压下的信噪比分别为36.2dB和33.1dB,功耗为11mW,电源电压为1.1V。
{"title":"A 6b 3GS/s 11mW fully dynamic flash ADC in 40nm CMOS with reduced number of comparators","authors":"Yun-Shiang Shu","doi":"10.1109/VLSIC.2012.6243772","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243772","url":null,"abstract":"A 6b 3GS/s fully dynamic flash ADC is fabricated in 40nm CMOS and occupies 0.021mm2. Dynamic comparators with digitally controlled built-in offset are realized with imbalanced tails. Half of the comparators are substituted with simple SR latches. The ADC achieves SNDRs of 36.2dB and 33.1dB at DC and Nyquist, respectively, while consuming 11mW from a 1.1V supply.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75002420","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 92
A 0.25V 460nW asynchronous neural signal processor with inherent leakage suppression 一种具有固有泄漏抑制功能的0.25V 460nW异步神经信号处理器
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243838
Tsung-Te Liu, J. Rabaey
A neural signal processor exploits an asynchronous timing strategy to dynamically minimize leakage and to self-adapt to the process variations and different operating conditions. Based on a logic topology with built-in leakage suppression, the self-timed processor demonstrates robust sub-threshold operation down to 0.25V, while consuming only 460nW in 0.03mm2 in a 65nm CMOS technology, representing a 4.4X reduction in power compared to the state-of-the-art designs.
神经信号处理器利用异步定时策略来动态地减少泄漏,并自适应过程变化和不同的操作条件。基于内置泄漏抑制的逻辑拓扑,自定时处理器具有强大的亚阈值工作能力,低至0.25V,同时在0.03mm2的65nm CMOS技术中仅消耗460nW,与最先进的设计相比,功耗降低了4.4倍。
{"title":"A 0.25V 460nW asynchronous neural signal processor with inherent leakage suppression","authors":"Tsung-Te Liu, J. Rabaey","doi":"10.1109/VLSIC.2012.6243838","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243838","url":null,"abstract":"A neural signal processor exploits an asynchronous timing strategy to dynamically minimize leakage and to self-adapt to the process variations and different operating conditions. Based on a logic topology with built-in leakage suppression, the self-timed processor demonstrates robust sub-threshold operation down to 0.25V, while consuming only 460nW in 0.03mm2 in a 65nm CMOS technology, representing a 4.4X reduction in power compared to the state-of-the-art designs.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84990422","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
A 260mV L-shaped 7T SRAM with bit-line (BL) Swing expansion schemes based on boosted BL, asymmetric-VTH read-port, and offset cell VDD biasing techniques 一种260mV l型7T SRAM,具有位线(BL)摆幅扩展方案,基于升压BL、非对称vth读端口和偏移单元VDD偏置技术
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243815
Ming-Pin Chen, Lai-Fu Chen, Meng-Fan Chang, Shu-Meng Yang, Yao-Jen Kuo, Jui-Jen Wu, M. Ho, H. Su, Yuan-Hua Chu, Wen-Chin Wu, Tzu-Yi Yang, H. Yamauchi
This work proposes bit-line (BL) swing expansion schemes (BL-EXPD), which minimize the product (A*VDDmin) of SRAM cell area (A) and the minimum operation voltage (VDDmin) to the best of our knowledge. The key-enablers to minimize A*VDDmin are: L-shaped 7T cell (L7T) and BL-EXPD. The L7T features: (1) an area efficient cell layout, (2) a read-disturb free decoupled 1T read port (RP), and (3) a half-select disturb free write back scheme[1]. The BL-EXPD enables a 9× larger read-BL (RBL) swing at the 6σ point than that in our previously proposed Z8T[2] and allows single BL sensing to reduce cell area. A fabricated 65nm 256-row BL 32Kb L7T SRAM achieved a 260mV VDDmin. As a result, its A*VDDmin is ~50% lower than for Z8T and conventional 8T SRAM cells [3,4].
本文提出了位线(BL)摆动扩展方案(BL- expd),该方案最大限度地降低了SRAM单元面积(A)的乘积(A*VDDmin)和最小工作电压(VDDmin)。最小化A*VDDmin的关键使能器是:l形7T cell (L7T)和BL-EXPD。L7T的特点是:(1)面积有效的单元布局,(2)无读干扰的解耦1T读端口(RP),(3)半选择无干扰的回写方案[1]。与我们之前提出的Z8T[2]相比,BL- expd在6σ点的读取-BL (RBL)摆动大9倍,并且允许单BL传感以减少单元面积。自制的65nm 256排BL 32Kb L7T SRAM实现了260mV的VDDmin。因此,它的a *VDDmin比Z8T和常规8T SRAM电池低约50%[3,4]。
{"title":"A 260mV L-shaped 7T SRAM with bit-line (BL) Swing expansion schemes based on boosted BL, asymmetric-VTH read-port, and offset cell VDD biasing techniques","authors":"Ming-Pin Chen, Lai-Fu Chen, Meng-Fan Chang, Shu-Meng Yang, Yao-Jen Kuo, Jui-Jen Wu, M. Ho, H. Su, Yuan-Hua Chu, Wen-Chin Wu, Tzu-Yi Yang, H. Yamauchi","doi":"10.1109/VLSIC.2012.6243815","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243815","url":null,"abstract":"This work proposes bit-line (BL) swing expansion schemes (BL-EXPD), which minimize the product (A*VDDmin) of SRAM cell area (A) and the minimum operation voltage (VDDmin) to the best of our knowledge. The key-enablers to minimize A*VDDmin are: L-shaped 7T cell (L7T) and BL-EXPD. The L7T features: (1) an area efficient cell layout, (2) a read-disturb free decoupled 1T read port (RP), and (3) a half-select disturb free write back scheme[1]. The BL-EXPD enables a 9× larger read-BL (RBL) swing at the 6σ point than that in our previously proposed Z8T[2] and allows single BL sensing to reduce cell area. A fabricated 65nm 256-row BL 32Kb L7T SRAM achieved a 260mV VDDmin. As a result, its A*VDDmin is ~50% lower than for Z8T and conventional 8T SRAM cells [3,4].","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85458761","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
期刊
2012 Symposium on VLSI Circuits (VLSIC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1