首页 > 最新文献

2012 Symposium on VLSI Circuits (VLSIC)最新文献

英文 中文
A 1.6-mm2 38-mW 1.5-Gb/s LDPC decoder enabled by refresh-free embedded DRAM 采用免刷新嵌入式DRAM实现的1.6 mm2 38mw 1.5 gb /s LDPC解码器
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243816
Youn Sung Park, D. Blaauw, D. Sylvester, Zhengya Zhang
Memory dominates the power consumption of high-throughput LDPC decoders. A 700 MHz refresh-free embedded DRAM (eDRAM) is designed as a low-power memory to retain data for the required access window. 321-kb eDRAM arrays are integrated in a 1.6 mm2, 65nm LDPC decoder suitable for IEEE 802.11ad. The LDPC decoder consumes 38 mW for a 1.5 Gb/s throughput at 90 MHz and 10 decoding iterations, and it achieves up to 9 Gb/s at 540 MHz.
内存在高吞吐量LDPC解码器的功耗中占主导地位。700mhz免刷新嵌入式DRAM (eDRAM)设计为低功耗存储器,为所需的访问窗口保留数据。321kb的eDRAM阵列集成在1.6 mm2、65nm LDPC解码器中,适用于IEEE 802.11ad。LDPC解码器在90 MHz和10次解码迭代时的吞吐量为1.5 Gb/s,功耗为38 mW,在540 MHz时可达到9gb /s。
{"title":"A 1.6-mm2 38-mW 1.5-Gb/s LDPC decoder enabled by refresh-free embedded DRAM","authors":"Youn Sung Park, D. Blaauw, D. Sylvester, Zhengya Zhang","doi":"10.1109/VLSIC.2012.6243816","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243816","url":null,"abstract":"Memory dominates the power consumption of high-throughput LDPC decoders. A 700 MHz refresh-free embedded DRAM (eDRAM) is designed as a low-power memory to retain data for the required access window. 321-kb eDRAM arrays are integrated in a 1.6 mm2, 65nm LDPC decoder suitable for IEEE 802.11ad. The LDPC decoder consumes 38 mW for a 1.5 Gb/s throughput at 90 MHz and 10 decoding iterations, and it achieves up to 9 Gb/s at 540 MHz.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74640723","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 25
A 1.5GHz 1.35mW −112dBc/Hz in-band noise digital phase-locked loop with 50fs/mV supply-noise sensitivity 1.5GHz 1.35mW - 112dBc/Hz带内噪声数字锁相环,电源噪声灵敏度为50fs/mV
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243853
A. Elshazly, Rajesh Inti, Mrunmay Talegaonkar, P. Hanumolu
A highly digital PLL employs a 1b TDC and a low power regulator to reduce output jitter in the presence of large amount of supply-noise. Fabricated in a 0.13μm CMOS process, the ring-oscillator based DPLL consumes 1.35mW at 1.5GHz output frequency and achieves better than 50fs/mV worst-case noise sensitivity (≡10pspp jitter degradation with 200mVpp noise).
高度数字化的锁相环采用1b TDC和低功率稳压器来减少大量电源噪声存在时的输出抖动。基于环形振荡器的DPLL采用0.13μm CMOS工艺制造,在1.5GHz输出频率下消耗1.35mW,最坏情况下噪声灵敏度优于50fs/mV(≡噪声为200mVpp,抖动衰减为10pspp)。
{"title":"A 1.5GHz 1.35mW −112dBc/Hz in-band noise digital phase-locked loop with 50fs/mV supply-noise sensitivity","authors":"A. Elshazly, Rajesh Inti, Mrunmay Talegaonkar, P. Hanumolu","doi":"10.1109/VLSIC.2012.6243853","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243853","url":null,"abstract":"A highly digital PLL employs a 1b TDC and a low power regulator to reduce output jitter in the presence of large amount of supply-noise. Fabricated in a 0.13μm CMOS process, the ring-oscillator based DPLL consumes 1.35mW at 1.5GHz output frequency and achieves better than 50fs/mV worst-case noise sensitivity (≡10pspp jitter degradation with 200mVpp noise).","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79997258","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
1Mb 4T-2MTJ nonvolatile STT-RAM for embedded memories using 32b fine-grained power gating technique with 1.0ns/200ps wake-up/power-off times 1Mb 4T-2MTJ非易失性STT-RAM,用于嵌入式存储器,采用32b细粒度电源门控技术,唤醒/关闭时间为1.0ns/200ps
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243782
T. Ohsawa, H. Koike, S. Miura, H. Honjo, K. Tokutome, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh
A 1Mb nonvolatile STT-RAM using the 4T-2MTJ cell is designed and fabricated using 90nm CMOS and MTJ processes. 32 cells along a word line (WL) are simultaneously power-gated with quick wake-up/power-off times of 1.0ns/200ps, respectively, to reduce operation power and to eliminate standby power of the chip. The cell is experimentally shown to retain data with static noise margin (SNM) 0.32V under Vdd=1V. The 1Mb chip with 2.19μm2 cell is successfully operated with array access time of 8ns and read power of 10.7mW under 10ns cycle. The macro size of 1Mb STT-RAM is predicted to become smaller than the 1Mb 6T-SRAM in 45nm and beyond.
采用90nm CMOS和MTJ工艺设计和制造了采用4T-2MTJ电池的1Mb非易失性STT-RAM。沿一字线(WL)的32个单元同时进行电源选通,分别以1.0ns/200ps的快速唤醒/关机时间进行电源选通,以减少芯片的工作功率和消除待机功率。实验表明,在Vdd=1V时,该电池可以保持静态噪声裕度(SNM)为0.32V的数据。该芯片容量为1Mb,单元面积为2.19μm2,在10ns周期下,阵列访问时间为8ns,读取功率为10.7mW。1Mb STT-RAM的宏观尺寸预计将在45nm及以后变得比1Mb 6T-SRAM小。
{"title":"1Mb 4T-2MTJ nonvolatile STT-RAM for embedded memories using 32b fine-grained power gating technique with 1.0ns/200ps wake-up/power-off times","authors":"T. Ohsawa, H. Koike, S. Miura, H. Honjo, K. Tokutome, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh","doi":"10.1109/VLSIC.2012.6243782","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243782","url":null,"abstract":"A 1Mb nonvolatile STT-RAM using the 4T-2MTJ cell is designed and fabricated using 90nm CMOS and MTJ processes. 32 cells along a word line (WL) are simultaneously power-gated with quick wake-up/power-off times of 1.0ns/200ps, respectively, to reduce operation power and to eliminate standby power of the chip. The cell is experimentally shown to retain data with static noise margin (SNM) 0.32V under Vdd=1V. The 1Mb chip with 2.19μm2 cell is successfully operated with array access time of 8ns and read power of 10.7mW under 10ns cycle. The macro size of 1Mb STT-RAM is predicted to become smaller than the 1Mb 6T-SRAM in 45nm and beyond.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81439381","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 57
High area-efficient DC-DC converter using Time-Mode Miller Compensation (TMMC) 基于时模米勒补偿(TMMC)的高效率DC-DC变换器
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243849
Sung-Wan Hong, Tae-Hwang Kong, Seungchul Jung, Sungwoo Lee, Se-Won Wang, Jong-Pil Im, G. Cho
For the controller design of a DC-DC converter, a Time-Mode Miller Compensation (TMMC) is introduced in this paper. Using this concept, the consuming area of the DC-DC converter can be significantly reduced without any off-chip compensation components. The chip is implemented in 0.18μm I/O CMOS whose size is similar to 0.35μm CMOS, and the core size of this work is only 0.12mm2. Peak efficiency is 90.6%, with switching frequency of 1.15MHz.
针对DC-DC变换器的控制器设计,本文引入了时模米勒补偿(TMMC)。利用这一概念,可以大大减少DC-DC转换器的消耗面积,而无需任何片外补偿元件。芯片采用0.18μm I/O CMOS实现,其尺寸与0.35μm CMOS相似,本作品的核心尺寸仅为0.12mm2。峰值效率为90.6%,开关频率为1.15MHz。
{"title":"High area-efficient DC-DC converter using Time-Mode Miller Compensation (TMMC)","authors":"Sung-Wan Hong, Tae-Hwang Kong, Seungchul Jung, Sungwoo Lee, Se-Won Wang, Jong-Pil Im, G. Cho","doi":"10.1109/VLSIC.2012.6243849","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243849","url":null,"abstract":"For the controller design of a DC-DC converter, a Time-Mode Miller Compensation (TMMC) is introduced in this paper. Using this concept, the consuming area of the DC-DC converter can be significantly reduced without any off-chip compensation components. The chip is implemented in 0.18μm I/O CMOS whose size is similar to 0.35μm CMOS, and the core size of this work is only 0.12mm2. Peak efficiency is 90.6%, with switching frequency of 1.15MHz.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89558766","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
A 5MHz BW 70.7dB SNDR noise-shaped two-step quantizer based ΔΣ ADC 基于5MHz BW 70.7dB SNDR噪声型两步量化器的ΔΣ ADC
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243840
Taehwan Oh, N. Maghari, U. Moon
In this paper, a new ΔΣ ADC using a noise-shaped two-step integrating quantizer is presented. Attaining an extra order of noise-shaping from the integrating quantizer, the proposed ΔΣ ADC manifests a second-order noise-shaping with a first-order loop filter. Furthermore, this quantizer provides an 8b quantization in itself, drastically reducing the oversampling requirement. The proposed ADC also incorporates a new feedback DAC topology that alleviates feedback DAC complexity of a two-step 8b quantizer. The measured results of the prototype ADC implemented in a 0.13μm CMOS demonstrate peak SNDR of 70.7dB at 8.1mW power, with an 8x OSR at 80MHz sampling frequency.
本文提出了一种采用噪声型两步积分量化器的新型ΔΣ ADC。从积分量化器获得额外的噪声整形,所提出的ΔΣ ADC采用一阶环路滤波器实现二阶噪声整形。此外,该量化器本身提供8b量化,大大降低了过采样要求。所提出的ADC还集成了一个新的反馈DAC拓扑,减轻了两步8b量化器的反馈DAC复杂性。在0.13μm CMOS上实现的原型ADC的测量结果表明,在8.1mW功率下,峰值SNDR为70.7dB,在80MHz采样频率下,OSR为8倍。
{"title":"A 5MHz BW 70.7dB SNDR noise-shaped two-step quantizer based ΔΣ ADC","authors":"Taehwan Oh, N. Maghari, U. Moon","doi":"10.1109/VLSIC.2012.6243840","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243840","url":null,"abstract":"In this paper, a new ΔΣ ADC using a noise-shaped two-step integrating quantizer is presented. Attaining an extra order of noise-shaping from the integrating quantizer, the proposed ΔΣ ADC manifests a second-order noise-shaping with a first-order loop filter. Furthermore, this quantizer provides an 8b quantization in itself, drastically reducing the oversampling requirement. The proposed ADC also incorporates a new feedback DAC topology that alleviates feedback DAC complexity of a two-step 8b quantizer. The measured results of the prototype ADC implemented in a 0.13μm CMOS demonstrate peak SNDR of 70.7dB at 8.1mW power, with an 8x OSR at 80MHz sampling frequency.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78227423","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
A 100+ meter 12Gb/s/lane copper cable link based on clock-forwarding 基于时钟转发的100+米12Gb/s/lane铜缆链路
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243813
Tamer A. Ali, Won Ho Park, P. Mulage, Ehung Chen, R. Ho, C. Yang
This paper presents a clock-forwarding link architecture for 12Gbps 100+ meters copper cable. The delivery of a low jitter forward clock along the entire cable is enabled by an FIR filtering technique, a low-jitter configurable PLL/MDLL and the proper choice of forwarded frequency Total jitter at the end of the cable is 4.4ps RMS. The data signal is repeated every 8m. The link at each repeater occupies 0.095mm2 of area in a 65nm technology dissipating 48mW.
提出了一种用于12Gbps 100+米铜缆的时钟转发链路架构。通过FIR滤波技术、低抖动可配置PLL/MDLL和正确选择转发频率,可以沿整个电缆传输低抖动正向时钟。电缆末端的总抖动为4.4ps RMS。数据信号每8m重复一次。在65nm技术中,每个中继器的链路占用0.095mm2的面积,功耗为48mW。
{"title":"A 100+ meter 12Gb/s/lane copper cable link based on clock-forwarding","authors":"Tamer A. Ali, Won Ho Park, P. Mulage, Ehung Chen, R. Ho, C. Yang","doi":"10.1109/VLSIC.2012.6243813","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243813","url":null,"abstract":"This paper presents a clock-forwarding link architecture for 12Gbps 100+ meters copper cable. The delivery of a low jitter forward clock along the entire cable is enabled by an FIR filtering technique, a low-jitter configurable PLL/MDLL and the proper choice of forwarded frequency Total jitter at the end of the cable is 4.4ps RMS. The data signal is repeated every 8m. The link at each repeater occupies 0.095mm2 of area in a 65nm technology dissipating 48mW.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79465284","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 10 MHz BW 50 fJ/conv. continuous time ΔΣ modulator with high-order single opamp integrator using optimization-based design method 10mhz bw50fj /conv。采用基于优化设计方法的高阶单运放积分器的连续时间ΔΣ调制器
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243839
Kazuo Matsukawa, Koji Obata, Y. Mitani, S. Dosho
This paper proposes a new power and area efficient circuit configurations, and also an optimization design method for such configurations. Two types of loopfilters are fabricated, one is a third-order integrator with single opamp for mobile TV-tuners (Modulator A) and the other is a fourth-order (Modulator B) for wide-band mobile receivers. Modulator A and Modulator B are fabricated in 65 nm and 40 nm CMOS processes, respectively. Results show that the new filter with an efficient optimization tool is a very powerful way to develop high efficient ΔΣ.
本文提出了一种新的功率和面积有效的电路结构,以及这种结构的优化设计方法。制造了两种类型的环滤波器,一种是用于移动电视调谐器(调制器a)的单运放三阶积分器,另一种是用于宽带移动接收器的四阶(调制器B)。调制器A和调制器B分别采用65 nm和40 nm的CMOS工艺制造。结果表明,新型滤波器配合高效的优化工具是开发高效ΔΣ的有力途径。
{"title":"A 10 MHz BW 50 fJ/conv. continuous time ΔΣ modulator with high-order single opamp integrator using optimization-based design method","authors":"Kazuo Matsukawa, Koji Obata, Y. Mitani, S. Dosho","doi":"10.1109/VLSIC.2012.6243839","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243839","url":null,"abstract":"This paper proposes a new power and area efficient circuit configurations, and also an optimization design method for such configurations. Two types of loopfilters are fabricated, one is a third-order integrator with single opamp for mobile TV-tuners (Modulator A) and the other is a fourth-order (Modulator B) for wide-band mobile receivers. Modulator A and Modulator B are fabricated in 65 nm and 40 nm CMOS processes, respectively. Results show that the new filter with an efficient optimization tool is a very powerful way to develop high efficient ΔΣ.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83514468","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 36
Components for generating and phase locking 390-GHz signal in 45-nm CMOS 用于在45纳米CMOS中产生和锁相390 ghz信号的组件
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243764
D. Shim, D. Koukis, D. J. Arenas, D. Tanner, E. Seok, J. Brewer, K. O. Kenneth
Components for generating and phase locking 390-GHz signal are demonstrated using low leakage transistors in 45-nm CMOS. An integrated chain of circuits composed of an 195-GHz oscillator with frequency doubled output at ~390 GHz followed by two cascaded ÷2 injection locked frequency dividers with output frequency of ~49 GHz is demonstrated. The peak power radiated at ~390 GHz by an on-chip antenna is ~2 μW. The oscillator and frequency divider consumes 21 and 6 mW, respectively.
采用45纳米CMOS低漏晶体管,演示了390 ghz信号的产生和锁相元件。本文演示了一种集成电路链,该电路由输出频率为~390 GHz的195 GHz倍频振荡器和输出频率为~49 GHz的两个级联÷2注入锁定分频器组成。片上天线在~390 GHz频段的峰值辐射功率为~2 μW。振荡器和分频器分别消耗21和6 mW。
{"title":"Components for generating and phase locking 390-GHz signal in 45-nm CMOS","authors":"D. Shim, D. Koukis, D. J. Arenas, D. Tanner, E. Seok, J. Brewer, K. O. Kenneth","doi":"10.1109/VLSIC.2012.6243764","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243764","url":null,"abstract":"Components for generating and phase locking 390-GHz signal are demonstrated using low leakage transistors in 45-nm CMOS. An integrated chain of circuits composed of an 195-GHz oscillator with frequency doubled output at ~390 GHz followed by two cascaded ÷2 injection locked frequency dividers with output frequency of ~49 GHz is demonstrated. The peak power radiated at ~390 GHz by an on-chip antenna is ~2 μW. The oscillator and frequency divider consumes 21 and 6 mW, respectively.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82116629","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
Nanostructured CMOS wireless ultra-wideband label-free DNA analysis SoC 纳米结构CMOS无线超宽带无标签DNA分析SoC
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243820
Hamed Mazhab-Jafari, L. Soleymani, K. Abdelhalim, E. Sargent, S. Kelley, R. Genov
A 0.13-micron CMOS fully integrated 48-channel UWB label-free DNA analysis SoC is demonstrated in prostate cancer screening. The 3mm×3mm die includes 578 nanostructured DNA sensors, 48 pH sensors, and 48 temperature sensors and reuses key circuits for cyclic voltammetry, amperometry and temperature regulation.
一种0.13微米CMOS全集成48通道UWB无标记DNA分析SoC被证明用于前列腺癌筛查。3mm×3mm芯片包括578个纳米结构DNA传感器,48个pH传感器和48个温度传感器,并重复使用循环伏安法,安培法和温度调节的关键电路。
{"title":"Nanostructured CMOS wireless ultra-wideband label-free DNA analysis SoC","authors":"Hamed Mazhab-Jafari, L. Soleymani, K. Abdelhalim, E. Sargent, S. Kelley, R. Genov","doi":"10.1109/VLSIC.2012.6243820","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243820","url":null,"abstract":"A 0.13-micron CMOS fully integrated 48-channel UWB label-free DNA analysis SoC is demonstrated in prostate cancer screening. The 3mm×3mm die includes 578 nanostructured DNA sensors, 48 pH sensors, and 48 temperature sensors and reuses key circuits for cyclic voltammetry, amperometry and temperature regulation.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76211894","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
A 61-dB SNDR 700 µm2 second-order all-digital TDC with low-jitter frequency shift oscillators and dynamic flipflops 一个61 db SNDR 700µm2二阶全数字TDC,具有低抖动频移振荡器和动态触发器
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243854
T. Konishi, Keisuke Okuno, S. Izumi, M. Yoshimoto, H. Kawaguchi
We present a small-area second-order all-digital time-to-digital converter (TDC) with two frequency shift oscillators (FSOs) comprising inverter chains and dynamic flipflops featuring low jitter. The proposed FSOs can maintain their phase states through continuous oscillation, unlike conventional gated ring oscillators (GROs) that are affected by transistor leakage. Our proposed FSOTDC is more robust and is eligible for all-digital TDC architectures in recent leaky processes. Low-jitter dynamic flipflops are adopted as a quantization noise propagator (QNP). A frequency mismatch occurring between the two FSOs can be canceled out using a least mean squares (LMS) filter so that second-order noise shaping is possible. In a standard 65-nm CMOS process, an SNDR of 61 dB is achievable at an input bandwidth of 500 kHz and a sampling rate of 16 MHz, where the respective area and power are 700 μm2 and 281 μW.
我们提出了一种小面积二阶全数字时间-数字转换器(TDC),它具有两个移频振荡器(fso),由逆变器链和具有低抖动的动态触发器组成。与受晶体管漏损影响的传统门控环振荡器(GROs)不同,所提出的fso可以通过连续振荡保持其相态。我们提出的FSOTDC更具鲁棒性,适用于最近泄漏过程中的全数字TDC架构。采用低抖动动态触发器作为量化噪声传播器(QNP)。两个fso之间发生的频率不匹配可以使用最小均方(LMS)滤波器消除,从而可以进行二阶噪声整形。在标准的65纳米CMOS工艺中,在输入带宽为500 kHz,采样率为16 MHz,面积和功率分别为700 μm2和281 μW时,SNDR可达到61 dB。
{"title":"A 61-dB SNDR 700 µm2 second-order all-digital TDC with low-jitter frequency shift oscillators and dynamic flipflops","authors":"T. Konishi, Keisuke Okuno, S. Izumi, M. Yoshimoto, H. Kawaguchi","doi":"10.1109/VLSIC.2012.6243854","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243854","url":null,"abstract":"We present a small-area second-order all-digital time-to-digital converter (TDC) with two frequency shift oscillators (FSOs) comprising inverter chains and dynamic flipflops featuring low jitter. The proposed FSOs can maintain their phase states through continuous oscillation, unlike conventional gated ring oscillators (GROs) that are affected by transistor leakage. Our proposed FSOTDC is more robust and is eligible for all-digital TDC architectures in recent leaky processes. Low-jitter dynamic flipflops are adopted as a quantization noise propagator (QNP). A frequency mismatch occurring between the two FSOs can be canceled out using a least mean squares (LMS) filter so that second-order noise shaping is possible. In a standard 65-nm CMOS process, an SNDR of 61 dB is achievable at an input bandwidth of 500 kHz and a sampling rate of 16 MHz, where the respective area and power are 700 μm2 and 281 μW.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78780563","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 19
期刊
2012 Symposium on VLSI Circuits (VLSIC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1