首页 > 最新文献

2012 Symposium on VLSI Circuits (VLSIC)最新文献

英文 中文
A 47% access time reduction with a worst-case timing-generation scheme utilizing a statistical method for ultra low voltage SRAMs 使用统计方法的超低电压sram的最坏情况定时生成方案可减少47%的访问时间
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243809
A. Kawasumi, Y. Takeyama, O. Hirabayashi, K. Kushida, F. Tachibana, Y. Niki, S. Sasaki, T. Yabe
A variation tolerant sense amplifier timing generator which utilizes a statistical method is proposed. The circuit monitors all the bitline delays and generates the worst timing from the delay distribution. The proposed timing generators have been implemented in 28nm and 40nm SRAMs. The 47% access time reduction has been confirmed in measured results.
提出了一种基于统计方法的容差传感放大器时序发生器。电路监控所有的位线延迟,并从延迟分布中产生最差的时序。所提出的时序发生器已在28nm和40nm sram中实现。测量结果证实,访问时间减少了47%。
{"title":"A 47% access time reduction with a worst-case timing-generation scheme utilizing a statistical method for ultra low voltage SRAMs","authors":"A. Kawasumi, Y. Takeyama, O. Hirabayashi, K. Kushida, F. Tachibana, Y. Niki, S. Sasaki, T. Yabe","doi":"10.1109/VLSIC.2012.6243809","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243809","url":null,"abstract":"A variation tolerant sense amplifier timing generator which utilizes a statistical method is proposed. The circuit monitors all the bitline delays and generates the worst timing from the delay distribution. The proposed timing generators have been implemented in 28nm and 40nm SRAMs. The 47% access time reduction has been confirmed in measured results.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84079231","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
A 700µW 8-channel EEG/contact-impedance acquisition system for dry-electrodes 用于干电极的700µW 8通道EEG/接触阻抗采集系统
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243793
S. Mitra, Jiawei Xu, Akinori Matsumoto, K. Makinwa, C. Hoof, R. Yazicioglu
A 700μW 8-channel active-electrode (AE) based EEG monitoring system is presented. The complete system consists of 9 AEs and a back-end analog signal processor. It is capable of continuously recording EEG signals and electrode-tissue contact impedance (ETI). The EEG channels have 1.2GΩ input impedance, 1.75μVrms noise (0.5-100Hz), 84dB CMRR, and can reject ±250mV of electrode offset, while consuming less than <;87μW (including ETI measurement). The system facilitates ambulatory use and patient comfort, while delivering high quality EEG signals.
提出了一种700μW的8通道有源电极(AE)脑电监测系统。整个系统由9个ae和一个后端模拟信号处理器组成。它能够连续记录脑电图信号和电极组织接触阻抗(ETI)。EEG通道输入阻抗1.2GΩ,噪声1.75μVrms (0.5 ~ 100hz), CMRR 84dB,可抑制±250mV电极偏移,功耗小于< 87μW(含ETI测量)。该系统便于门诊使用和患者舒适度,同时提供高质量的脑电图信号。
{"title":"A 700µW 8-channel EEG/contact-impedance acquisition system for dry-electrodes","authors":"S. Mitra, Jiawei Xu, Akinori Matsumoto, K. Makinwa, C. Hoof, R. Yazicioglu","doi":"10.1109/VLSIC.2012.6243793","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243793","url":null,"abstract":"A 700μW 8-channel active-electrode (AE) based EEG monitoring system is presented. The complete system consists of 9 AEs and a back-end analog signal processor. It is capable of continuously recording EEG signals and electrode-tissue contact impedance (ETI). The EEG channels have 1.2GΩ input impedance, 1.75μVrms noise (0.5-100Hz), 84dB CMRR, and can reject ±250mV of electrode offset, while consuming less than <;87μW (including ETI measurement). The system facilitates ambulatory use and patient comfort, while delivering high quality EEG signals.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90834751","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 24
A 4320p 60fps H.264/AVC intra-frame encoder chip with 1.41Gbins/s CABAC 4320p 60fps H.264/AVC帧内编码器芯片,CABAC为1.41 gbps
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243836
Dajiang Zhou, Gang He, Wei Fei, Zhixiang Chen, Jinjia Zhou, S. Goto
An H.264/AVC intra-frame video encoder is implemented in 65 nm CMOS. With an efficient intra prediction design, its maximum throughput reaches 1991 Mpixels/s for 7680×4320 p 60 fps video, 9.4× to 32× faster than previous designs. The encoder also incorporates a 1.41 Gbins/s CABAC architecture that has been enhanced by 31%. Moreover, low energy consumption is achieved by the high parallelism and hardware efficiency of this design. 1080p30 encoding dissipates only 2 mW at 0.8 V and 9 MHz.
在65nm CMOS中实现了H.264/AVC帧内视频编码器。凭借高效的帧内预测设计,对于7680×4320 p 60 fps视频,其最大吞吐量达到1991 Mpixels/s,比以前的设计快9.4到32倍。编码器还集成了1.41 gbps的CABAC架构,增强了31%。此外,该设计的并行度高,硬件效率高,能耗低。1080p30编码在0.8 V和9 MHz时仅耗散2 mW。
{"title":"A 4320p 60fps H.264/AVC intra-frame encoder chip with 1.41Gbins/s CABAC","authors":"Dajiang Zhou, Gang He, Wei Fei, Zhixiang Chen, Jinjia Zhou, S. Goto","doi":"10.1109/VLSIC.2012.6243836","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243836","url":null,"abstract":"An H.264/AVC intra-frame video encoder is implemented in 65 nm CMOS. With an efficient intra prediction design, its maximum throughput reaches 1991 Mpixels/s for 7680×4320 p 60 fps video, 9.4× to 32× faster than previous designs. The encoder also incorporates a 1.41 Gbins/s CABAC architecture that has been enhanced by 31%. Moreover, low energy consumption is achieved by the high parallelism and hardware efficiency of this design. 1080p30 encoding dissipates only 2 mW at 0.8 V and 9 MHz.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89421309","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
A 440pJ/bit 1Mb/s 2.4GHz multi-channel FBAR-based TX and an integrated pulse-shaping PA 一个440pJ/bit 1Mb/s 2.4GHz多通道fbar TX和一个集成脉冲整形PA
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243776
A. Paidimarri, P. Nadeau, P. Mercier, A. Chandrakasan
A 2.4GHz TX in 65nm CMOS defines three channels using three high-Q FBARs and supports OOK, BPSK and MSK. The oscillators have -132dBc/Hz phase noise at 1MHz offset, and are multiplexed to an efficient resonant buffer. Optimized for low output power ≈-10dBm, a fully-integrated PA implements 7.5dB dynamic output power range using a dynamic impedance transformation network, and is used for amplitude pulse-shaping. Peak PA efficiency is 44.4% and peak TX efficiency is 33%. The entire TX consumes 440pJ/bit at 1Mb/s.
采用65nm CMOS的2.4GHz TX使用三个高q fbar定义了三个通道,并支持OOK, BPSK和MSK。振荡器在1MHz偏置时具有-132dBc/Hz相位噪声,并复用到有效的谐振缓冲器。针对低输出功率≈-10dBm进行了优化,采用动态阻抗变换网络实现7.5dB动态输出功率范围,并用于幅度脉冲整形。峰值PA效率为44.4%,峰值TX效率为33%。整个TX以1Mb/s的速度消耗440pJ/bit。
{"title":"A 440pJ/bit 1Mb/s 2.4GHz multi-channel FBAR-based TX and an integrated pulse-shaping PA","authors":"A. Paidimarri, P. Nadeau, P. Mercier, A. Chandrakasan","doi":"10.1109/VLSIC.2012.6243776","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243776","url":null,"abstract":"A 2.4GHz TX in 65nm CMOS defines three channels using three high-Q FBARs and supports OOK, BPSK and MSK. The oscillators have -132dBc/Hz phase noise at 1MHz offset, and are multiplexed to an efficient resonant buffer. Optimized for low output power ≈-10dBm, a fully-integrated PA implements 7.5dB dynamic output power range using a dynamic impedance transformation network, and is used for amplitude pulse-shaping. Peak PA efficiency is 44.4% and peak TX efficiency is 33%. The entire TX consumes 440pJ/bit at 1Mb/s.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89839019","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
A 198-ns/V VO-hopping reconfigurable RGB LED driver with automatic ΔVO detection and quasi-constant-frequency predictive peak current control 具有ΔVO自动检测和准恒频预测峰值电流控制的198-ns/V跳vo可重构RGB LED驱动器
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243851
Yi Zhang, Hai-jin Chen, D. Ma
A CMOS RGB LED driver is presented, using cost-effective, single-converter, reconfigurable structure to bias RGB color LEDs accurately and adaptively. Automatic ΔVO detection and fast VO-hopping techniques are proposed, achieving 198-ns/V VO-hopping speed on 0.35μm CMOS. This is at least one order faster than the state-of-arts. While predictive peak current control and burst-mode operation are employed for robust operation, switching frequency is still stabilized around 1MHz by an adaptive off-timer for switching noise spectrum control. The driver consumes 8.6 times less headroom power than its fixed-output counterparts.
提出了一种低成本、单变换器、可重构结构的CMOS RGB LED驱动器,可准确、自适应地实现RGB彩色LED的偏置。提出了自动ΔVO检测和快速vo跳变技术,在0.35μm CMOS上实现了198-ns/V的vo跳变速度。这比最先进的至少快一个数量级。虽然采用预测峰值电流控制和突发模式操作来实现鲁棒性操作,但开关频率仍然通过自适应关闭定时器来控制开关噪声频谱,稳定在1MHz左右。与固定输出的同类产品相比,该驱动器消耗的净空功率减少了8.6倍。
{"title":"A 198-ns/V VO-hopping reconfigurable RGB LED driver with automatic ΔVO detection and quasi-constant-frequency predictive peak current control","authors":"Yi Zhang, Hai-jin Chen, D. Ma","doi":"10.1109/VLSIC.2012.6243851","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243851","url":null,"abstract":"A CMOS RGB LED driver is presented, using cost-effective, single-converter, reconfigurable structure to bias RGB color LEDs accurately and adaptively. Automatic ΔVO detection and fast VO-hopping techniques are proposed, achieving 198-ns/V VO-hopping speed on 0.35μm CMOS. This is at least one order faster than the state-of-arts. While predictive peak current control and burst-mode operation are employed for robust operation, switching frequency is still stabilized around 1MHz by an adaptive off-timer for switching noise spectrum control. The driver consumes 8.6 times less headroom power than its fixed-output counterparts.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78662994","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A 69mW 140-meter/60fps and 60-meter/300fps intelligent vision SoC for versatile automotive applications 69mW 140米/60fps和60米/300fps智能视觉SoC,适用于多功能汽车应用
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243835
Yi-Min Tsai, Tien-Ju Yang, Chih-Chung Tsai, K. Huang, Liang-Gee Chen
A machine-learning based intelligent vision SoC implemented on a 9.3 mm2 die in a 40nm CMOS process is presented. The architecture realizes 140 meters active distance at 60fps and 60 meters at 300fps under Quad-VGA (1280×960) resolution while maintaining above 90% detection rate for versatile automotive applications. The system supports 64 object tracking and prediction. It raises 1.62× improvement on power efficiency and at least 1.79× increase on frame rate with the proposed knowledge-based tracking processor. The chip achieves 354.2fps/W and 3.01TOPS/W power efficiency with 69mW average power consumption.
提出了一种基于机器学习的智能视觉SoC,实现在9.3 mm2芯片上,采用40nm CMOS工艺。该架构在Quad-VGA (1280×960)分辨率下以60fps实现140米主动距离和以300fps实现60米主动距离,同时在多功能汽车应用中保持90%以上的检测率。系统支持64个目标的跟踪和预测。与所提出的基于知识的跟踪处理器相比,功率效率提高了1.62倍,帧率提高了至少1.79倍。该芯片实现354.2fps/W和3.01TOPS/W的功率效率,平均功耗为69mW。
{"title":"A 69mW 140-meter/60fps and 60-meter/300fps intelligent vision SoC for versatile automotive applications","authors":"Yi-Min Tsai, Tien-Ju Yang, Chih-Chung Tsai, K. Huang, Liang-Gee Chen","doi":"10.1109/VLSIC.2012.6243835","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243835","url":null,"abstract":"A machine-learning based intelligent vision SoC implemented on a 9.3 mm2 die in a 40nm CMOS process is presented. The architecture realizes 140 meters active distance at 60fps and 60 meters at 300fps under Quad-VGA (1280×960) resolution while maintaining above 90% detection rate for versatile automotive applications. The system supports 64 object tracking and prediction. It raises 1.62× improvement on power efficiency and at least 1.79× increase on frame rate with the proposed knowledge-based tracking processor. The chip achieves 354.2fps/W and 3.01TOPS/W power efficiency with 69mW average power consumption.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87047393","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 15
A reconfigurable mostly-digital ΔΣ ADC with a worst-case FOM of 160dB 一个可重构的大部分数字ΔΣ ADC,最坏情况FOM为160dB
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243842
Gerry Taylor, I. Galton
A 0.075 mm2 65 nm CMOS VCO-based ΔΣ modulator ADC that operates from a single 0.9-1.2 V supply is presented. Its sample-rate, fs, is tunable from 1.3-2.4 GHz over which the SNDR spans 70-75 dB, the bandwidth spans 5-37.5 MHz, and the minimum SNDR + 10 log(bandwidth/power dissipation) figure of merit (FOM) is 160 dB.
提出了一种基于0.075 mm2 65 nm CMOS vco的ΔΣ调制器ADC,该ADC工作于单个0.9-1.2 V电源。它的采样率fs在1.3-2.4 GHz范围内可调,SNDR范围为70-75 dB,带宽范围为5-37.5 MHz,最小SNDR + 10 log(带宽/功耗)优值(FOM)为160 dB。
{"title":"A reconfigurable mostly-digital ΔΣ ADC with a worst-case FOM of 160dB","authors":"Gerry Taylor, I. Galton","doi":"10.1109/VLSIC.2012.6243842","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243842","url":null,"abstract":"A 0.075 mm<sup>2</sup> 65 nm CMOS VCO-based ΔΣ modulator ADC that operates from a single 0.9-1.2 V supply is presented. Its sample-rate, f<sub>s</sub>, is tunable from 1.3-2.4 GHz over which the SNDR spans 70-75 dB, the bandwidth spans 5-37.5 MHz, and the minimum SNDR + 10 log(bandwidth/power dissipation) figure of merit (FOM) is 160 dB.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90915350","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 29
An 8-PPM, 45 pJ/bit UWB transmitter with reduced number of PA elements 一个8 ppm, 45 pJ/bit的UWB发射机,减少了PA元件的数量
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243777
V. Majidzadeh, A. Schmid, Y. Leblebici, J. Rabaey
A pulsed UWB transmitter (Tx) using finite impulse response synthesis of the raised-cosine pulse is presented. Symmetric pulse combining technique is proposed to reduce the number of power amplifier elements by half. A novel all-digital delay locked loop (AD-DLL) serves as an 8-array pulse position modulator (PPM) for aggressive duty-cycling of the Tx. The chip is fabricated with 90nm CMOS technology and consumes 540 μW from 1 V power supply resulting in 45 pJ/bit energy efficiency with -26 dBm of output power.
提出了一种利用有限脉冲响应合成提高余弦脉冲的脉冲超宽带发射机(Tx)。为了将功率放大器的元件数量减少一半,提出了对称脉冲组合技术。新型全数字延迟锁环(AD-DLL)作为8阵列脉冲位置调制器(PPM),用于Tx的主动占空比。该芯片采用90nm CMOS技术制造,从1 V电源消耗540 μW,输出功率为-26 dBm,能量效率为45 pJ/bit。
{"title":"An 8-PPM, 45 pJ/bit UWB transmitter with reduced number of PA elements","authors":"V. Majidzadeh, A. Schmid, Y. Leblebici, J. Rabaey","doi":"10.1109/VLSIC.2012.6243777","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243777","url":null,"abstract":"A pulsed UWB transmitter (Tx) using finite impulse response synthesis of the raised-cosine pulse is presented. Symmetric pulse combining technique is proposed to reduce the number of power amplifier elements by half. A novel all-digital delay locked loop (AD-DLL) serves as an 8-array pulse position modulator (PPM) for aggressive duty-cycling of the Tx. The chip is fabricated with 90nm CMOS technology and consumes 540 μW from 1 V power supply resulting in 45 pJ/bit energy efficiency with -26 dBm of output power.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86518893","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
A 3-stage Pseudo Single-phase Flip-flop family 一个3级伪单相触发器系列
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243845
H. Partovi, A. Yeung, L. Ravezzi, M. Horowitz
This paper presents an energy-efficient 3-stage Pseudo Single-phase family of Flip-flops (PSPFF) targeted for use in a 3GHz microprocessor in a 40nm, 0.9V CMOS technology. With latencies in line with the fast pulsed-latch and an average switching energy comparable to the master-slave flip-flop, PSPFF achieves an energy-delay product (EDP) which is 42% and 24% lower than the pulsed-latch and the master-slave flip-flop respectively. Measurement results confirm an improvement of at least 300MHz in operating frequency when using the PSPFF in place of the master-slave flip-flop.
本文提出了一种节能的3级伪单相触发器(PSPFF)系列,用于40nm, 0.9V CMOS技术的3GHz微处理器。由于延迟与快速脉冲锁存器一致,平均开关能量与主从触发器相当,PSPFF实现的能量延迟积(EDP)分别比脉冲锁存器和主从触发器低42%和24%。测量结果证实,当使用PSPFF代替主从触发器时,工作频率至少提高了300MHz。
{"title":"A 3-stage Pseudo Single-phase Flip-flop family","authors":"H. Partovi, A. Yeung, L. Ravezzi, M. Horowitz","doi":"10.1109/VLSIC.2012.6243845","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243845","url":null,"abstract":"This paper presents an energy-efficient 3-stage Pseudo Single-phase family of Flip-flops (PSPFF) targeted for use in a 3GHz microprocessor in a 40nm, 0.9V CMOS technology. With latencies in line with the fast pulsed-latch and an average switching energy comparable to the master-slave flip-flop, PSPFF achieves an energy-delay product (EDP) which is 42% and 24% lower than the pulsed-latch and the master-slave flip-flop respectively. Measurement results confirm an improvement of at least 300MHz in operating frequency when using the PSPFF in place of the master-slave flip-flop.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87309970","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
A 25-Gb/s 2.2-W optical transceiver using an analog FE tolerant to power supply noise and redundant data format conversion in 65-nm CMOS 采用模拟FE的25gb /s 2.2 w光模块,可承受电源噪声和冗余数据格式转换,采用65nm CMOS
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243812
T. Takemoto, H. Yamashita, Takehito Kamimura, F. Yuki, N. Masuda, H. Toyoda, N. Chujo, K. Kogo, Yong Lee, S. Tsuji, S. Nishimura
A one-chip transceiver was developed for optical backplanes by integrating an analog FE with data format conversion in 65-nm CMOS. 10×6.25Gb/s electrical signals were converted to 4×25Gb/s optical signals with 25% redundancy to improve resilience against possible LD failure. To alleviate degradation of the optical link due to power-supply variations, a TIA with a noise canceller and a fully differential LDD are proposed. The noise canceller decreases power-supply variations by 98%. Total power consumption was only 2.2W.
在65纳米CMOS中集成模拟有限元和数据格式转换,开发了一种用于光背板的单片收发器。10×6.25Gb/s的电信号转换为4×25Gb/s的光信号,具有25%的冗余,以提高对可能的LD故障的弹性。为了减轻由于电源变化引起的光链路退化,提出了一种带噪声消除器和全差分LDD的TIA。噪声消除器减少了98%的电源变化。总功耗仅为2.2W。
{"title":"A 25-Gb/s 2.2-W optical transceiver using an analog FE tolerant to power supply noise and redundant data format conversion in 65-nm CMOS","authors":"T. Takemoto, H. Yamashita, Takehito Kamimura, F. Yuki, N. Masuda, H. Toyoda, N. Chujo, K. Kogo, Yong Lee, S. Tsuji, S. Nishimura","doi":"10.1109/VLSIC.2012.6243812","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243812","url":null,"abstract":"A one-chip transceiver was developed for optical backplanes by integrating an analog FE with data format conversion in 65-nm CMOS. 10×6.25Gb/s electrical signals were converted to 4×25Gb/s optical signals with 25% redundancy to improve resilience against possible LD failure. To alleviate degradation of the optical link due to power-supply variations, a TIA with a noise canceller and a fully differential LDD are proposed. The noise canceller decreases power-supply variations by 98%. Total power consumption was only 2.2W.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83961523","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 19
期刊
2012 Symposium on VLSI Circuits (VLSIC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1