首页 > 最新文献

2012 Symposium on VLSI Circuits (VLSIC)最新文献

英文 中文
A +30.5 dBm CMOS Doherty power amplifier with reliability enhancement technique 采用可靠性增强技术的+30.5 dBm CMOS Doherty功率放大器
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243798
Kohei Onizuka, S. Saigusa, S. Otaka
A watt-level, fully integrated 1:1 Doherty power amplifier for 2.4 GHz band is demonstrated in 65 nm CMOS. Both high peak output power of +30.5 dBm and high PAE of 23% at 6 dB power back-off are achieved by the proposed compact output network. A newly introduced reliability enhancement technique for sub-PA prolongs time to failure by up to 75% as well. The PA satisfies IEEE 802.11b and 11g spectrum masks at output power levels of 25.5 and 21.5 dBm respectively, from supply voltage of 3.3 V.
一种用于2.4 GHz频段的瓦级、全集成1:1 Doherty功率放大器在65nm CMOS上进行了演示。采用该紧凑型输出网络,可实现+30.5 dBm的峰值输出功率和6 dB功率回退时23%的高PAE。一项新引入的可靠性增强技术也将故障时间延长了75%。该PA满足IEEE 802.11b和11g频谱掩模,输出功率分别为25.5和21.5 dBm,电源电压为3.3 V。
{"title":"A +30.5 dBm CMOS Doherty power amplifier with reliability enhancement technique","authors":"Kohei Onizuka, S. Saigusa, S. Otaka","doi":"10.1109/VLSIC.2012.6243798","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243798","url":null,"abstract":"A watt-level, fully integrated 1:1 Doherty power amplifier for 2.4 GHz band is demonstrated in 65 nm CMOS. Both high peak output power of +30.5 dBm and high PAE of 23% at 6 dB power back-off are achieved by the proposed compact output network. A newly introduced reliability enhancement technique for sub-PA prolongs time to failure by up to 75% as well. The PA satisfies IEEE 802.11b and 11g spectrum masks at output power levels of 25.5 and 21.5 dBm respectively, from supply voltage of 3.3 V.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80423768","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
A 3.1mW/Gbps 30Gbps quarter-rate triple-speculation 15-tap SC-DFE RX data path in 32nm CMOS 32nm CMOS 3.1mW/Gbps 30Gbps四分之一速率三推测15分路SC-DFE RX数据路径
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243810
T. Toifl, M. Ruegg, Rajesh Inti, C. Menolfi, M. Braendli, M. Kossel, P. Buchmann, P. Francese, T. Morf
This paper describes a low-power implementation of a receiver data path, consisting of the RX termination with ESD, continuous-time linear equalizer (CTLE), and a 15-tap decision feedback equalizer (DFE) running at quarter rate. While the first 3 DFE taps are implemented by speculation, the latter 12 taps use a switched-cap (SC-DFE) approach. The circuit was produced in 32nm SOI-CMOS, and was measured to receive 30Gb/s PRBS31 data at <;10-12 BER over a 36dB loss channel with an energy efficiency of 3.1mW/Gbps.
本文描述了一个接收器数据路径的低功耗实现,包括带有ESD的RX终端、连续时间线性均衡器(CTLE)和一个以四分之一速率运行的15分路决策反馈均衡器(DFE)。虽然前3个DFE抽头是通过推测实现的,但后12个抽头使用开关盖(SC-DFE)方法。该电路采用32nm SOI-CMOS制作,在36dB损耗通道上以< 10-12 BER接收30Gb/s PRBS31数据,能量效率为3.1mW/Gbps。
{"title":"A 3.1mW/Gbps 30Gbps quarter-rate triple-speculation 15-tap SC-DFE RX data path in 32nm CMOS","authors":"T. Toifl, M. Ruegg, Rajesh Inti, C. Menolfi, M. Braendli, M. Kossel, P. Buchmann, P. Francese, T. Morf","doi":"10.1109/VLSIC.2012.6243810","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243810","url":null,"abstract":"This paper describes a low-power implementation of a receiver data path, consisting of the RX termination with ESD, continuous-time linear equalizer (CTLE), and a 15-tap decision feedback equalizer (DFE) running at quarter rate. While the first 3 DFE taps are implemented by speculation, the latter 12 taps use a switched-cap (SC-DFE) approach. The circuit was produced in 32nm SOI-CMOS, and was measured to receive 30Gb/s PRBS31 data at <;10-12 BER over a 36dB loss channel with an energy efficiency of 3.1mW/Gbps.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79720404","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
The evolution of next-generation data center networks for high capacity computing 面向高容量计算的下一代数据中心网络的演进
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243762
Nick Ilyadis
With the emergence of cloud computing, new data center architectures are being created to address the challenges of the new computing models. The scale of these next-generation data center networks drives the demand for high-density, low-latency and low-power networking solutions. These networks are being deployed with flat topologies, virtualization and low latency. The silicon solutions that are being developed to address these new networks are redefining the data center landscape. This paper and the presentation describe the cloud data model and the evolution of the data center, and then consider the technologies that are being developed to address the new paradigms. This paper also describes the silicon solutions (the underlying engines) and the challenges they must overcome to meet these requirements.
随着云计算的出现,正在创建新的数据中心体系结构来应对新计算模型的挑战。这些下一代数据中心网络的规模推动了对高密度、低延迟和低功耗网络解决方案的需求。这些网络采用扁平拓扑、虚拟化和低延迟进行部署。为解决这些新网络而开发的硅解决方案正在重新定义数据中心的格局。本文和演示文稿描述了云数据模型和数据中心的演变,然后考虑了为解决新范式而开发的技术。本文还描述了硅解决方案(底层引擎)以及它们必须克服的挑战以满足这些需求。
{"title":"The evolution of next-generation data center networks for high capacity computing","authors":"Nick Ilyadis","doi":"10.1109/VLSIC.2012.6243762","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243762","url":null,"abstract":"With the emergence of cloud computing, new data center architectures are being created to address the challenges of the new computing models. The scale of these next-generation data center networks drives the demand for high-density, low-latency and low-power networking solutions. These networks are being deployed with flat topologies, virtualization and low latency. The silicon solutions that are being developed to address these new networks are redefining the data center landscape. This paper and the presentation describe the cloud data model and the evolution of the data center, and then consider the technologies that are being developed to address the new paradigms. This paper also describes the silicon solutions (the underlying engines) and the challenges they must overcome to meet these requirements.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80217806","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
A 2.4GHz WLAN transceiver with fully-integrated highly-linear 1.8V 28.4dBm PA, 34dBm T/R switch, 240MS/s DAC, 320MS/s ADC, and DPLL in 32nm SoC CMOS 2.4GHz WLAN收发器,完全集成高线性1.8V 28.4dBm PA, 34dBm T/R开关,240MS/s DAC, 320MS/s ADC和DPLL,采用32nm SoC CMOS
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243797
Yulin Tan, J. Duster, C. Fu, E. Alpman, A. Balankutty, Chun C. Lee, A. Ravi, S. Pellerano, K. Chandrashekar, Hyung Seok Kim, B. Carlton, Satoshi Suzuki, M. Shafi, Y. Palaskas, H. Lakdawala
A 2.4GHz WLAN transceiver is presented with a fully-integrated highly-linear 28.4dBm PA, 34dBm T/R switch, 240MS/s DAC and 320MS/s ADC (high OSR for relaxed filtering), DPLL and fractional LOG, in 32nm CMOS. For 802.11g 54Mbps, without linearization the TX delivers 19.8dBm at 12.5% efficiency (PA 21.6dBm/19.7% PAE) for -25dB EVM and mask-compliant 22.8dBm/18.5%, while the RX achieves 4.8dB NF, -69dBm sensitivity, and -8dBm IIP3.
一款2.4GHz无线局域网收发器采用32nm CMOS,具有完全集成的高线性28.4dBm PA, 34dBm T/R开关,240MS/s DAC和320MS/s ADC(放松滤波的高OSR), DPLL和分数LOG。对于802.11g 54Mbps,无需线性化,在-25dB EVM和-69dBm掩模兼容的情况下,TX以12.5%的效率输出19.8dBm (PA 21.6dBm/19.7% PAE),而RX实现4.8dB NF, -69dBm灵敏度和-8dBm IIP3。
{"title":"A 2.4GHz WLAN transceiver with fully-integrated highly-linear 1.8V 28.4dBm PA, 34dBm T/R switch, 240MS/s DAC, 320MS/s ADC, and DPLL in 32nm SoC CMOS","authors":"Yulin Tan, J. Duster, C. Fu, E. Alpman, A. Balankutty, Chun C. Lee, A. Ravi, S. Pellerano, K. Chandrashekar, Hyung Seok Kim, B. Carlton, Satoshi Suzuki, M. Shafi, Y. Palaskas, H. Lakdawala","doi":"10.1109/VLSIC.2012.6243797","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243797","url":null,"abstract":"A 2.4GHz WLAN transceiver is presented with a fully-integrated highly-linear 28.4dBm PA, 34dBm T/R switch, 240MS/s DAC and 320MS/s ADC (high OSR for relaxed filtering), DPLL and fractional LOG, in 32nm CMOS. For 802.11g 54Mbps, without linearization the TX delivers 19.8dBm at 12.5% efficiency (PA 21.6dBm/19.7% PAE) for -25dB EVM and mask-compliant 22.8dBm/18.5%, while the RX achieves 4.8dB NF, -69dBm sensitivity, and -8dBm IIP3.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81169130","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
A UWB IR timed-array radar using time-shifted direct-sampling architecture 采用时移直接采样结构的超宽带红外时阵雷达
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243786
C. Lai, K. Tan, L. Yu, Yen-Ju Chen, Jun-Wei Huang, Shr-Chau Lai, Feng-Hsu Chung, Chia-Fung Yen, Jen-Ming Wu, Po-Chiun Huang, Keh-Jeng Chang, Shi-Yu Huang, Ta-Shun Chu
A UWB impulse radio (IR) timed-array radar using time-shifted direct-sampling architecture is presented. The transmitter array can generate and send a variety of 10GS/s pulses towards targets. The receiver array samples the reflected signal in RF domain directly by time interleaved sampling with equivalent sampling rate of 20 GS/s. The radar system can determine time of arrival (TOA) and direction of arrival (DOA) through time-shifted sampling edges which are generated by on-chip digital-to-time converters (DTC). The proposed architecture has range and azimuth resolution of 0.75 cm and 3 degree respectively. This prototype is implemented in a 0.18μm CMOS technology.
提出了一种采用时移直接采样结构的超宽带脉冲无线电(IR)时阵雷达。发射机阵列可以产生并向目标发送各种10GS/s脉冲。接收机阵列直接对射频域反射信号进行时间交错采样,等效采样率为20 GS/s。雷达系统通过片上数字时间转换器(DTC)产生的时移采样边来确定到达时间(TOA)和到达方向(DOA)。该结构的距离和方位分辨率分别为0.75 cm和3度。该原型采用0.18μm CMOS技术实现。
{"title":"A UWB IR timed-array radar using time-shifted direct-sampling architecture","authors":"C. Lai, K. Tan, L. Yu, Yen-Ju Chen, Jun-Wei Huang, Shr-Chau Lai, Feng-Hsu Chung, Chia-Fung Yen, Jen-Ming Wu, Po-Chiun Huang, Keh-Jeng Chang, Shi-Yu Huang, Ta-Shun Chu","doi":"10.1109/VLSIC.2012.6243786","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243786","url":null,"abstract":"A UWB impulse radio (IR) timed-array radar using time-shifted direct-sampling architecture is presented. The transmitter array can generate and send a variety of 10GS/s pulses towards targets. The receiver array samples the reflected signal in RF domain directly by time interleaved sampling with equivalent sampling rate of 20 GS/s. The radar system can determine time of arrival (TOA) and direction of arrival (DOA) through time-shifted sampling edges which are generated by on-chip digital-to-time converters (DTC). The proposed architecture has range and azimuth resolution of 0.75 cm and 3 degree respectively. This prototype is implemented in a 0.18μm CMOS technology.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82634219","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
A 10-bit 1-GHz 33-mW CMOS ADC 一个10位1ghz 33mw CMOS ADC
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243774
Bibhudatta Sahoo, Behzad Razavi
A pipelined ADC digitally calibrates capacitor mismatches in its 4-bit first stage and the gain error in the first 5 stages. Using a one-stage op amp with a gain of 10 and realized in 65-nm CMOS technology, the ADC digitizes a 490-MHz input with an SNDR of 52.4 dB, achieving an FOM of 0.097pJ/conversion-step.
流水线ADC数字校准4位第一级的电容不匹配和前5级的增益误差。该ADC采用增益为10的单级运算放大器,采用65纳米CMOS技术实现,对490mhz输入进行数字化处理,SNDR为52.4 dB, FOM为0.097pJ/转换步长。
{"title":"A 10-bit 1-GHz 33-mW CMOS ADC","authors":"Bibhudatta Sahoo, Behzad Razavi","doi":"10.1109/VLSIC.2012.6243774","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243774","url":null,"abstract":"A pipelined ADC digitally calibrates capacitor mismatches in its 4-bit first stage and the gain error in the first 5 stages. Using a one-stage op amp with a gain of 10 and realized in 65-nm CMOS technology, the ADC digitizes a 490-MHz input with an SNDR of 52.4 dB, achieving an FOM of 0.097pJ/conversion-step.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76164304","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 24
An 85dB SFDR 67dB SNDR 8OSR 240MS/s ΔΣ ADC with nonlinear memory error calibration 85dB SFDR 67dB SNDR 8OSR 240MS/s ΔΣ非线性记忆误差校准ADC
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243841
Seung-Chul Lee, Brian Elies, Y. Chiu
A 1-0 MASH ΔΣ ADC demonstrates a digital calibration technique treating both amplifier distortion and capacitor mismatch. The output-referred error analysis accurately models a nonlinear modulator. The identification of multiple error parameters is accomplished by correlating various moments of the ADC output with a one-bit pseudorandom noise (PN). The prototype ADC employing 29dB gain amplifiers measures 85dB SFDR and 67dB SNDR for a -1dBFS (1.1Vpp) 5MHz sinusoidal input at 240MS/s. The core ADC consumes 37mW from a 1.25V supply and occupies 0.28mm2 in a 65nm CMOS low-leakage digital process, in which the transistor threshold voltages are around 0.5V.
1-0 MASH ΔΣ ADC演示了一种处理放大器失真和电容失配的数字校准技术。输出参考误差分析准确地模拟了非线性调制器。多个误差参数的识别是通过将ADC输出的各个矩与一位伪随机噪声(PN)相关联来完成的。采用29dB增益放大器的原型ADC在240MS/s的-1dBFS (1.1Vpp) 5MHz正弦输入下测量85dB的SFDR和67dB的SNDR。核心ADC在1.25V电源下消耗37mW,在晶体管阈值电压约为0.5V的65nm CMOS低漏数字工艺中占地0.28mm2。
{"title":"An 85dB SFDR 67dB SNDR 8OSR 240MS/s ΔΣ ADC with nonlinear memory error calibration","authors":"Seung-Chul Lee, Brian Elies, Y. Chiu","doi":"10.1109/VLSIC.2012.6243841","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243841","url":null,"abstract":"A 1-0 MASH ΔΣ ADC demonstrates a digital calibration technique treating both amplifier distortion and capacitor mismatch. The output-referred error analysis accurately models a nonlinear modulator. The identification of multiple error parameters is accomplished by correlating various moments of the ADC output with a one-bit pseudorandom noise (PN). The prototype ADC employing 29dB gain amplifiers measures 85dB SFDR and 67dB SNDR for a -1dBFS (1.1Vpp) 5MHz sinusoidal input at 240MS/s. The core ADC consumes 37mW from a 1.25V supply and occupies 0.28mm2 in a 65nm CMOS low-leakage digital process, in which the transistor threshold voltages are around 0.5V.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79655523","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
A 4.5-mW 8-b 750-MS/s 2-b/step asynchronous subranged SAR ADC in 28-nm CMOS technology 采用28纳米CMOS技术的4.5 mw 8-b 750-MS/s 2-b/步异步分段SAR ADC
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243803
Yuanching Lien
A 8-b 2-b/step asynchronous subranged SAR ADC is presented. It incorporates subranging technique to obtain fast reference settling for MSB conversion. The capacitive interpolation reduces number of NMOS switches and lowers matching requirement of a resistive DAC. The proposed timing scheme avoids the need of specific duty cycle of external clock for defining sampling period in a conventional asynchronous SAR ADC. Operating at 750 MS/s, this ADC consumes 4.5 mW from 1-V supply, achieves ENOB of 7.2 and FOM of 41 fJ/conversion-step. It is fabricated in 28-nm CMOS technology and occupies an active area of 0.004 mm2.
提出了一种8-b 2-b/步异步分插式SAR ADC。该方法采用了分段技术,实现了MSB转换的快速基准沉降。电容式插补减少了NMOS开关的数量,降低了电阻式DAC的匹配要求。该定时方案避免了在传统异步SAR ADC中需要外部时钟的特定占空比来定义采样周期。该ADC工作速度为750ms /s,从1v电源消耗4.5 mW, ENOB为7.2,FOM为41 fJ/转换步长。它采用28纳米CMOS技术制造,占据0.004 mm2的有效面积。
{"title":"A 4.5-mW 8-b 750-MS/s 2-b/step asynchronous subranged SAR ADC in 28-nm CMOS technology","authors":"Yuanching Lien","doi":"10.1109/VLSIC.2012.6243803","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243803","url":null,"abstract":"A 8-b 2-b/step asynchronous subranged SAR ADC is presented. It incorporates subranging technique to obtain fast reference settling for MSB conversion. The capacitive interpolation reduces number of NMOS switches and lowers matching requirement of a resistive DAC. The proposed timing scheme avoids the need of specific duty cycle of external clock for defining sampling period in a conventional asynchronous SAR ADC. Operating at 750 MS/s, this ADC consumes 4.5 mW from 1-V supply, achieves ENOB of 7.2 and FOM of 41 fJ/conversion-step. It is fabricated in 28-nm CMOS technology and occupies an active area of 0.004 mm2.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75519804","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 74
x11 performance increase, x6.9 endurance enhancement, 93% energy reduction of 3D TSV-integrated hybrid ReRAM/MLC NAND SSDs by data fragmentation suppression 通过数据碎片抑制,3D tsv集成混合ReRAM/MLC NAND ssd的性能提高了x11,续航能力提高了x6.9,能耗降低了93%
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243826
Hiroki Fujii, K. Miyaji, K. Johguchi, K. Higuchi, Chao Sun, K. Takeuchi
A 3D through-silicon-via (TSV) -integrated hybrid ReRAM/multi-level-cell (MLC) NAND solid-state drives' (SSDs') architecture is proposed for PC, server and smart phone applications. NAND-like interface (I/F) and sector-access overwrite policy are proposed for the ReRAM. Furthermore, intelligent data management algorithms are proposed. The proposed algorithms suppress data fragmentation and excess usage of the MLC NAND by storing hot data in the ReRAM. As a result, 11 times performance increase, 6.9 times endurance enhancement and 93% write energy reduction are achieved compared with the conventional MLC NAND SSD. Both ReRAM write and read latency should be less than 3μs to obtain these improvements. The required endurance for ReRAM is 105. 3D TSV interconnects reduce the energy consumption by 68%.
提出了一种用于PC、服务器和智能手机应用的3D通硅通孔(TSV)集成混合ReRAM/multi-level cell (MLC) NAND固态硬盘(ssd)架构。提出了类似nand的I/F接口和扇区访问覆盖策略。在此基础上,提出了智能数据管理算法。提出的算法通过在ReRAM中存储热数据来抑制数据碎片和MLC NAND的过度使用。与传统的MLC NAND SSD相比,性能提高了11倍,续航能力提高了6.9倍,写入能量降低了93%。要获得这些改进,ReRAM的写和读延迟都应该小于3μs。ReRAM所需的续航时间是105。3D TSV互连减少68%的能源消耗。
{"title":"x11 performance increase, x6.9 endurance enhancement, 93% energy reduction of 3D TSV-integrated hybrid ReRAM/MLC NAND SSDs by data fragmentation suppression","authors":"Hiroki Fujii, K. Miyaji, K. Johguchi, K. Higuchi, Chao Sun, K. Takeuchi","doi":"10.1109/VLSIC.2012.6243826","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243826","url":null,"abstract":"A 3D through-silicon-via (TSV) -integrated hybrid ReRAM/multi-level-cell (MLC) NAND solid-state drives' (SSDs') architecture is proposed for PC, server and smart phone applications. NAND-like interface (I/F) and sector-access overwrite policy are proposed for the ReRAM. Furthermore, intelligent data management algorithms are proposed. The proposed algorithms suppress data fragmentation and excess usage of the MLC NAND by storing hot data in the ReRAM. As a result, 11 times performance increase, 6.9 times endurance enhancement and 93% write energy reduction are achieved compared with the conventional MLC NAND SSD. Both ReRAM write and read latency should be less than 3μs to obtain these improvements. The required endurance for ReRAM is 105. 3D TSV interconnects reduce the energy consumption by 68%.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75064049","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 60
Design of a 2.5-GHz, 3-ps jitter, 8-locking-cycle, all-digital delay-locked loop with cycle-by-cycle phase adjustment 设计一个2.5 ghz、3ps抖动、8个锁相周期、全数字锁相周期相位调节的延迟锁相环
Pub Date : 2012-06-13 DOI: 10.1109/VLSIC.2012.6243852
Chun-Yuan Cheng, Jinn-Shyan Wang, Cheng-Tai Yeh, J. Sheu
This paper describes the design of a multi-GHz ADDLL. HDSC-based coarse-fine architecture is adopted to achieve low power and to avoid harmonic locking at large operating frequency ranges. A new resettable coarse delay line and an asynchronous binary-search design are proposed to achieve fast coarse locking and fine locking, respectively. A novel maintenance operation is also proposed to allow phase adjustments to be performed during each cycle to effectively suppress the jitter. The measurement results show that the designed 1.0-V, 55-nm ADDLL has a peak-to-peak jitter of 3 ps and a locking time of 8 cycles when operated at 2.5 GHz with a power dissipation of only 1.96 mW.
本文介绍了一种多ghz ADDLL的设计。采用基于hdsc的粗精结构,实现了低功耗和大工作频率范围内的谐波锁定。提出了一种新的可复位粗延迟线和异步二叉搜索设计,分别实现了快速粗锁和精细锁。还提出了一种新的维护操作,允许在每个周期内进行相位调整,以有效地抑制抖动。测量结果表明,所设计的1.0 v, 55 nm ADDLL在2.5 GHz工作时,峰间抖动为3ps,锁定时间为8个周期,功耗仅为1.96 mW。
{"title":"Design of a 2.5-GHz, 3-ps jitter, 8-locking-cycle, all-digital delay-locked loop with cycle-by-cycle phase adjustment","authors":"Chun-Yuan Cheng, Jinn-Shyan Wang, Cheng-Tai Yeh, J. Sheu","doi":"10.1109/VLSIC.2012.6243852","DOIUrl":"https://doi.org/10.1109/VLSIC.2012.6243852","url":null,"abstract":"This paper describes the design of a multi-GHz ADDLL. HDSC-based coarse-fine architecture is adopted to achieve low power and to avoid harmonic locking at large operating frequency ranges. A new resettable coarse delay line and an asynchronous binary-search design are proposed to achieve fast coarse locking and fine locking, respectively. A novel maintenance operation is also proposed to allow phase adjustments to be performed during each cycle to effectively suppress the jitter. The measurement results show that the designed 1.0-V, 55-nm ADDLL has a peak-to-peak jitter of 3 ps and a locking time of 8 cycles when operated at 2.5 GHz with a power dissipation of only 1.96 mW.","PeriodicalId":6347,"journal":{"name":"2012 Symposium on VLSI Circuits (VLSIC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2012-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73453337","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
期刊
2012 Symposium on VLSI Circuits (VLSIC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1