首页 > 最新文献

2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers最新文献

英文 中文
Intermittent resonant clocking enabling power reduction at any clock frequency for 0.37V 980kHz near-threshold logic circuits 间歇谐振时钟使功率降低在任何时钟频率0.37V 980kHz近阈值逻辑电路
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487804
H. Fuketa, M. Nomura, M. Takamiya, T. Sakurai
In order to improve the energy efficiency of logic circuits, reductions in capacitance (C) and power supply voltage (VDD) are required, as energy consumption is proportional to CVDD2. Near-threshold (Vt) operation achieves an energy minimum. Resonant clocking can reduce the effective capacitance of the clock distribution network. In this work, a new resonant clocking scheme enabling power reduction at any clock frequency is proposed and applied to a 0.37V 980kHz near-Vt logic circuit in 40nm CMOS.
为了提高逻辑电路的能量效率,需要降低电容(C)和电源电压(VDD),因为能量消耗与CVDD2成正比。近阈值(Vt)操作达到能量最小。谐振时钟可以降低时钟配电网的有效电容。在这项工作中,提出了一种新的谐振时钟方案,可以在任何时钟频率下降低功率,并应用于40nm CMOS的0.37V 980kHz近vt逻辑电路。
{"title":"Intermittent resonant clocking enabling power reduction at any clock frequency for 0.37V 980kHz near-threshold logic circuits","authors":"H. Fuketa, M. Nomura, M. Takamiya, T. Sakurai","doi":"10.1109/ISSCC.2013.6487804","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487804","url":null,"abstract":"In order to improve the energy efficiency of logic circuits, reductions in capacitance (C) and power supply voltage (VDD) are required, as energy consumption is proportional to CVDD2. Near-threshold (Vt) operation achieves an energy minimum. Resonant clocking can reduce the effective capacitance of the clock distribution network. In this work, a new resonant clocking scheme enabling power reduction at any clock frequency is proposed and applied to a 0.37V 980kHz near-Vt logic circuit in 40nm CMOS.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75426669","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
A 94GHz 3D-image radar engine with 4TX/4RX beamforming scan technique in 65nm CMOS 采用4TX/4RX波束形成扫描技术的94GHz 3d图像雷达引擎
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487675
Pang-Ning Chen, Pen-Jui Peng, Chiro Kao, Yu-Lun Chen, Jri Lee
Millimeter-Wave and sub-THz imaging sensors have been demonstrated in CMOS technology recently. Mechanical scanners are still required to capture the whole image, making the systems bulky and costly. The limited resolution of the third-dimension (z-direction) also leads to a vague 3D picture. Other 2D solutions need not only focal lens, but large chip area to accommodate more pixels. This paper presents a 94GHz 3D image radar with electronic scanning. Using a 4TX/4RX beamforming technique and a modulated time-of-flight (ToF) algorithm, this prototype achieves ±28° scanning range, 2m maximum distance, and 1mm depth resolution with 960mW of power.
毫米波和次太赫兹成像传感器最近在CMOS技术上得到了验证。机械扫描仪仍然需要捕捉整个图像,使系统体积庞大,成本高昂。三维(z方向)的有限分辨率也导致了模糊的三维图像。其他2D解决方案不仅需要焦距镜头,还需要更大的芯片面积来容纳更多的像素。介绍了一种94GHz电子扫描三维图像雷达。该样机采用4TX/4RX波束成形技术和调制飞行时间(ToF)算法,扫描范围为±28°,最大距离为2m,深度分辨率为1mm,功率为960mW。
{"title":"A 94GHz 3D-image radar engine with 4TX/4RX beamforming scan technique in 65nm CMOS","authors":"Pang-Ning Chen, Pen-Jui Peng, Chiro Kao, Yu-Lun Chen, Jri Lee","doi":"10.1109/ISSCC.2013.6487675","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487675","url":null,"abstract":"Millimeter-Wave and sub-THz imaging sensors have been demonstrated in CMOS technology recently. Mechanical scanners are still required to capture the whole image, making the systems bulky and costly. The limited resolution of the third-dimension (z-direction) also leads to a vague 3D picture. Other 2D solutions need not only focal lens, but large chip area to accommodate more pixels. This paper presents a 94GHz 3D image radar with electronic scanning. Using a 4TX/4RX beamforming technique and a modulated time-of-flight (ToF) algorithm, this prototype achieves ±28° scanning range, 2m maximum distance, and 1mm depth resolution with 960mW of power.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75605932","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 22
1.2Gb/s 3.9pJ/b mono-phase pulse-modulation inductive-coupling transceiver for mm-range board-to-board communication 1.2Gb/s 3.9pJ/b单相脉冲调制电感耦合收发器,用于mm范围板对板通信
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487700
Hyunwoo Cho, U. Ha, Taehwan Roh, Dongchurl Kim, Jeahyuck Lee, Y. Oh, H. Yoo
Recently, short distance board-to-board interconnections are widely employed in portable systems and wearable devices to accommodate many components into an extremely tight footprint. In particular, portable devices such as smart phone and tablet require over 1Gb/s data transfer through ~1mm distance between AP board and a high resolution wide screen display board. Most of display interfaces are implemented with wire-line F-PCB connector, but, they suffer from: 1) high manufacturing cost, 2) the large form factor of the connector and standard socket and 3) large capacitance values of the connector and socket degrading the channel characteristics. So far, various communication interfaces have been tried to realize low cost, small form factor and low energy operation, but with limited success. The bi-phase pule modulation was used in board-to-board communication rather than base-band transmission due to its low energy operation [1-5]. This method used the positive pulse current for data `1' and the negative pulse current for data `0', and the receiver recovered the data by sampling the data at the exact time, which requires an accurate delay control unit. However, the bi-phase pulse modulation consumes significant power because: 1) current pulses sampled at every data consume large current in TX, and 2) a power hungry delay control unit is required to exactly control the sampling time.
最近,短距离板对板互连被广泛应用于便携式系统和可穿戴设备中,以在极小的空间内容纳许多组件。特别是,智能手机、平板电脑等便携式设备需要在AP板和高分辨率宽屏显示板之间通过~1mm的距离传输1Gb/s以上的数据。大多数显示接口都是用线式F-PCB连接器实现的,但是,它们存在以下问题:1)制造成本高,2)连接器和标准插座的外形尺寸大,以及3)连接器和插座的大电容值降低了通道特性。到目前为止,各种通信接口都试图实现低成本、小尺寸和低能耗的运行,但收效甚微。双相脉冲调制由于其低能量运行,被用于板对板通信而不是基带传输[1-5]。该方法对数据“1”采用正脉冲电流,对数据“0”采用负脉冲电流,接收机在准确的时间对数据进行采样,从而恢复数据,这就需要精确的延时控制单元。然而,双相脉冲调制消耗了大量的功率,因为:1)在每个数据处采样的电流脉冲在TX中消耗大电流,2)需要耗电的延迟控制单元来精确控制采样时间。
{"title":"1.2Gb/s 3.9pJ/b mono-phase pulse-modulation inductive-coupling transceiver for mm-range board-to-board communication","authors":"Hyunwoo Cho, U. Ha, Taehwan Roh, Dongchurl Kim, Jeahyuck Lee, Y. Oh, H. Yoo","doi":"10.1109/ISSCC.2013.6487700","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487700","url":null,"abstract":"Recently, short distance board-to-board interconnections are widely employed in portable systems and wearable devices to accommodate many components into an extremely tight footprint. In particular, portable devices such as smart phone and tablet require over 1Gb/s data transfer through ~1mm distance between AP board and a high resolution wide screen display board. Most of display interfaces are implemented with wire-line F-PCB connector, but, they suffer from: 1) high manufacturing cost, 2) the large form factor of the connector and standard socket and 3) large capacitance values of the connector and socket degrading the channel characteristics. So far, various communication interfaces have been tried to realize low cost, small form factor and low energy operation, but with limited success. The bi-phase pule modulation was used in board-to-board communication rather than base-band transmission due to its low energy operation [1-5]. This method used the positive pulse current for data `1' and the negative pulse current for data `0', and the receiver recovered the data by sampling the data at the exact time, which requires an accurate delay control unit. However, the bi-phase pulse modulation consumes significant power because: 1) current pulses sampled at every data consume large current in TX, and 2) a power hungry delay control unit is required to exactly control the sampling time.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75764277","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 13
All-digital hybrid temperature sensor network for dense thermal monitoring 用于密集热监测的全数字混合温度传感器网络
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487726
Seungwook Paek, Wongyu Shin, Jaeyoung Lee, Hyo-Eun Kim, Jun-Seok Park, L. Kim
Technology scaling and many-core design trends demand detailed information regarding the spatial temperature distribution, which is essential for dynamic thermal management [1,2]. The number of on-chip temperature sensors in high-performance processors is increasing, with state-of-the-art commercial processors embedding up to 44 on-chip sensors [3] and the number is likely to increase in the future (Fig. 14.7.1(a)). We observe two significant challenges in on-chip temperature sensing: 1) the increasing number of sensors, and 2) placing them in a regular manner (not solely on the potential hotspots). The number of sensors is mostly constrained by their area. Indeed, the sensor area is difficult to shrink since large delay lines or a BJT with a large ADC, and digital circuits are required to generate a proportional-to-absolute-temperature (PTAT) signal [2,5,6]. Many-core processor architectures give rise to the second challenge, namely, the hotspot locations within many-core processors are difficult to predict since we cannot determine the task allocation (and heat) profile at design time [2]. Consequently, an area-efficient dense thermal monitoring technique is desirable for next-generation processors.
技术扩展和许多核心设计趋势需要有关空间温度分布的详细信息,这对于动态热管理至关重要[1,2]。高性能处理器中片上温度传感器的数量正在增加,最先进的商用处理器嵌入了多达44个片上温度传感器[3],未来这个数字可能会增加(图14.7.1(a))。我们观察到片上温度传感的两个重大挑战:1)传感器数量的增加,以及2)将它们以常规方式放置(而不仅仅是在潜在的热点上)。传感器的数量主要受其面积的限制。实际上,传感器面积很难缩小,因为需要大延迟线或带有大ADC的BJT和数字电路来产生比例绝对温度(PTAT)信号[2,5,6]。多核处理器架构带来了第二个挑战,即多核处理器内的热点位置难以预测,因为我们无法在设计时确定任务分配(和热量)配置[2]。因此,一种面积高效的密集热监测技术是下一代处理器所需要的。
{"title":"All-digital hybrid temperature sensor network for dense thermal monitoring","authors":"Seungwook Paek, Wongyu Shin, Jaeyoung Lee, Hyo-Eun Kim, Jun-Seok Park, L. Kim","doi":"10.1109/ISSCC.2013.6487726","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487726","url":null,"abstract":"Technology scaling and many-core design trends demand detailed information regarding the spatial temperature distribution, which is essential for dynamic thermal management [1,2]. The number of on-chip temperature sensors in high-performance processors is increasing, with state-of-the-art commercial processors embedding up to 44 on-chip sensors [3] and the number is likely to increase in the future (Fig. 14.7.1(a)). We observe two significant challenges in on-chip temperature sensing: 1) the increasing number of sensors, and 2) placing them in a regular manner (not solely on the potential hotspots). The number of sensors is mostly constrained by their area. Indeed, the sensor area is difficult to shrink since large delay lines or a BJT with a large ADC, and digital circuits are required to generate a proportional-to-absolute-temperature (PTAT) signal [2,5,6]. Many-core processor architectures give rise to the second challenge, namely, the hotspot locations within many-core processors are difficult to predict since we cannot determine the task allocation (and heat) profile at design time [2]. Consequently, an area-efficient dense thermal monitoring technique is desirable for next-generation processors.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74433434","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 15
A 0.032mm2 3.1mW synthesized pixel clock generator with 30psrms integrated jitter and 10-to-630MHz DCO tuning range 一个0.032mm2的3.1mW合成像素时钟发生器,具有30psrms集成抖动和10- 630mhz DCO调谐范围
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487721
Wooseok Kim, Jaejin Park, Jihyun F. Kim, Taeik Kim, Hojin Park, D. Jeong
A pixel clock generator is widely utilized in the analog front-ends of digital TVs and also in other video applications. A low integrated jitter is required for good display quality. However, an extremely low input frequency coming from the horizontal synchronization signal (HSYNC) makes it difficult to achieve good jitter performance, because noise from the VCO cannot be sufficiently removed due to the limited loop bandwidth. In this work, a dual-loop architecture is adopted to reduce the phase noise from the VCO based on a ring oscillator. Prior work [1] proposed a dual-loop hybrid PLL composed of an analog loop for the DCO and the digital main loop. Unlike the hybrid architecture [1], our proposed PLL is composed of purely digital components and is synthesized in 28nm CMOS, including the TDC and the DCO, using a cell-based design methodology and automated layout synthesis.
像素时钟发生器广泛应用于数字电视的模拟前端和其他视频应用中。低集成抖动要求良好的显示质量。然而,来自水平同步信号(HSYNC)的极低输入频率使得难以实现良好的抖动性能,因为由于环路带宽有限,无法充分去除来自VCO的噪声。本文采用双环结构来降低基于环形振荡器的压控振荡器的相位噪声。先前的工作[1]提出了一种双环混合锁相环,该锁相环由用于DCO的模拟环路和数字主环路组成。与混合架构[1]不同,我们提出的锁相环由纯数字元件组成,并在28nm CMOS中合成,包括TDC和DCO,使用基于单元的设计方法和自动布局合成。
{"title":"A 0.032mm2 3.1mW synthesized pixel clock generator with 30psrms integrated jitter and 10-to-630MHz DCO tuning range","authors":"Wooseok Kim, Jaejin Park, Jihyun F. Kim, Taeik Kim, Hojin Park, D. Jeong","doi":"10.1109/ISSCC.2013.6487721","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487721","url":null,"abstract":"A pixel clock generator is widely utilized in the analog front-ends of digital TVs and also in other video applications. A low integrated jitter is required for good display quality. However, an extremely low input frequency coming from the horizontal synchronization signal (HSYNC) makes it difficult to achieve good jitter performance, because noise from the VCO cannot be sufficiently removed due to the limited loop bandwidth. In this work, a dual-loop architecture is adopted to reduce the phase noise from the VCO based on a ring oscillator. Prior work [1] proposed a dual-loop hybrid PLL composed of an analog loop for the DCO and the digital main loop. Unlike the hybrid architecture [1], our proposed PLL is composed of purely digital components and is synthesized in 28nm CMOS, including the TDC and the DCO, using a cell-based design methodology and automated layout synthesis.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73576894","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 29
A 93% efficiency reconfigurable switched-capacitor DC-DC converter using on-chip ferroelectric capacitors 采用片上铁电电容器的93%效率可重构开关电容DC-DC变换器
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487776
D. El-Damak, Saurav Bandyopadhyay, A. Chandrakasan
Dynamic Voltage Scaling (DVS) has become one of the standard techniques for energy efficient operation of systems by powering circuit blocks at the minimum voltage that meets the desired performance [1]. Switched Capacitor (SC) DC-DC converters have gained significant interest as a promising candidate for an integrated energy conversion solution that eliminates the need for inductors [2,3]. However, SC converters efficiency is limited by the conduction loss, bottom plate parasitic capacitance, gate drive loss in addition to the overhead of the control circuit. Reconfigurable SC converters supporting multi-gain settings have been proposed to allow efficient operation across wide output range [2,4]. Also, High density deep trench capacitors with low bottom plate parasitic capacitance have been utilized in [5] achieving a peak efficiency of 90%. In this work, we exploit on-chip ferroelectric capacitors (Fe-Caps) for charge transfer owing to their high density and extremely low bottom plate parasitic capacitance [6]. High efficiency conversion is achieved by combining the Fe-Caps with multi-gain setting converter in a reconfigurable architecture with dynamic gain selection.
动态电压缩放(DVS)通过以满足所需性能的最小电压为电路模块供电,已成为系统节能运行的标准技术之一[1]。开关电容(SC) DC-DC转换器作为一种有前途的集成能量转换解决方案已经获得了极大的兴趣,该解决方案消除了对电感的需求[2,3]。然而,SC变换器的效率受到传导损耗、底板寄生电容、栅极驱动损耗以及控制电路开销的限制。支持多增益设置的可重构SC转换器已被提出,以允许在宽输出范围内高效运行[2,4]。此外,在[5]中使用了具有低底板寄生电容的高密度深沟电容器,其峰值效率可达90%。在这项工作中,我们利用片上铁电电容器(Fe-Caps)的高密度和极低的底板寄生电容进行电荷转移[6]。在可重构的动态增益选择架构中,将Fe-Caps与多增益设置转换器相结合,实现了高效率的转换。
{"title":"A 93% efficiency reconfigurable switched-capacitor DC-DC converter using on-chip ferroelectric capacitors","authors":"D. El-Damak, Saurav Bandyopadhyay, A. Chandrakasan","doi":"10.1109/ISSCC.2013.6487776","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487776","url":null,"abstract":"Dynamic Voltage Scaling (DVS) has become one of the standard techniques for energy efficient operation of systems by powering circuit blocks at the minimum voltage that meets the desired performance [1]. Switched Capacitor (SC) DC-DC converters have gained significant interest as a promising candidate for an integrated energy conversion solution that eliminates the need for inductors [2,3]. However, SC converters efficiency is limited by the conduction loss, bottom plate parasitic capacitance, gate drive loss in addition to the overhead of the control circuit. Reconfigurable SC converters supporting multi-gain settings have been proposed to allow efficient operation across wide output range [2,4]. Also, High density deep trench capacitors with low bottom plate parasitic capacitance have been utilized in [5] achieving a peak efficiency of 90%. In this work, we exploit on-chip ferroelectric capacitors (Fe-Caps) for charge transfer owing to their high density and extremely low bottom plate parasitic capacitance [6]. High efficiency conversion is achieved by combining the Fe-Caps with multi-gain setting converter in a reconfigurable architecture with dynamic gain selection.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75688456","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 110
A 27% reduction in transceiver power for single-ended point-to-point DRAM interface with the termination resistance of 4×Z0 at both TX and RX 在TX和RX端端电阻均为4×Z0的单端点对点DRAM接口的收发器功率降低27%
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487747
Soo-Min Lee, Jong-Hoon Kim, Jongsam Kim, Yunsaing Kim, Hyunbae Lee, J. Sim, Hong-June Park
The transceiver power is reduced by 27% in the single-ended point-to-point DRAM interface by increasing the termination resistance to 4×Z0 at both ends of TX and RX. The resultant increase of ISI and reflection is compensated for at RX by using the 1-tap and 2-tap integrating decision-feedback equalizer (IDFE), respectively, where the reflection tap position and the tap coefficients are found automatically during the training mode. This improves the bathtub opening of a 4-inch FR4 channel from 20% to 62.5% at 5Gb/s in 0.13μm CMOS.
在单端点对点DRAM接口中,通过将TX和RX两端的终端电阻增加到4×Z0,可以将收发器功率降低27%。由此产生的ISI和反射的增加在RX处分别通过使用1抽头和2抽头积分决策反馈均衡器(IDFE)进行补偿,其中反射抽头位置和抽头系数在训练模式中自动找到。这将在0.13μm CMOS中以5Gb/s速度将4英寸FR4通道的浴缸开度从20%提高到62.5%。
{"title":"A 27% reduction in transceiver power for single-ended point-to-point DRAM interface with the termination resistance of 4×Z0 at both TX and RX","authors":"Soo-Min Lee, Jong-Hoon Kim, Jongsam Kim, Yunsaing Kim, Hyunbae Lee, J. Sim, Hong-June Park","doi":"10.1109/ISSCC.2013.6487747","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487747","url":null,"abstract":"The transceiver power is reduced by 27% in the single-ended point-to-point DRAM interface by increasing the termination resistance to 4×Z0 at both ends of TX and RX. The resultant increase of ISI and reflection is compensated for at RX by using the 1-tap and 2-tap integrating decision-feedback equalizer (IDFE), respectively, where the reflection tap position and the tap coefficients are found automatically during the training mode. This improves the bathtub opening of a 4-inch FR4 channel from 20% to 62.5% at 5Gb/s in 0.13μm CMOS.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77655972","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
A 66Gb/s 46mW 3-tap decision-feedback equalizer in 65nm CMOS 66Gb/s 46mW三抽头决策反馈均衡器,65nm CMOS
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487623
Yue Lu, E. Alon
Given the continuously climbing data rates of high-speed I/O's, equalizer circuits-and particularly decision-feedback equalizer (DFE) designs-are being pushed to operate at ever-higher speeds. At 20 to 40Gb/s data-rates, loop-unrolled DFEs are widely adopted to relieve the feedback timing constraints of the initial tap(s) [1]. However, loop-unrolling introduces additional delay into the critical paths of later (non-unrolled) DFE taps due to the selection MUXes, and with its exponential growth in complexity, does not scale well as the number of unrolled taps increases. Perhaps due to this challenge, no multi-tap DFE solutions with single pJ/bit efficiencies have yet been demonstrated at data rates >40Gb/s.
考虑到高速I/O的数据速率不断攀升,均衡器电路——尤其是决策反馈均衡器(DFE)设计——被要求以更高的速度运行。在20 ~ 40Gb/s数据速率下,广泛采用环展开dfe来缓解初始分接的反馈时间限制[1]。然而,由于mux的选择,环路展开在后期(非展开)DFE抽头的关键路径中引入了额外的延迟,并且随着其复杂性的指数增长,随着展开抽头数量的增加,它不能很好地扩展。也许是由于这一挑战,在数据速率>40Gb/s的情况下,目前还没有具有单pJ/bit效率的多分接DFE解决方案。
{"title":"A 66Gb/s 46mW 3-tap decision-feedback equalizer in 65nm CMOS","authors":"Yue Lu, E. Alon","doi":"10.1109/ISSCC.2013.6487623","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487623","url":null,"abstract":"Given the continuously climbing data rates of high-speed I/O's, equalizer circuits-and particularly decision-feedback equalizer (DFE) designs-are being pushed to operate at ever-higher speeds. At 20 to 40Gb/s data-rates, loop-unrolled DFEs are widely adopted to relieve the feedback timing constraints of the initial tap(s) [1]. However, loop-unrolling introduces additional delay into the critical paths of later (non-unrolled) DFE taps due to the selection MUXes, and with its exponential growth in complexity, does not scale well as the number of unrolled taps increases. Perhaps due to this challenge, no multi-tap DFE solutions with single pJ/bit efficiencies have yet been demonstrated at data rates >40Gb/s.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80261321","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
A universal GNSS (GPS/Galileo/Glonass/Beidou) SoC with a 0.25mm2 radio in 40nm CMOS 一个通用的GNSS (GPS/Galileo/Glonass/北斗)SoC,在40nm CMOS中具有0.25mm2无线电
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487758
Chun-Geik Tan, Fei Song, Tieng Yi Choke, Ming Kong, De-Cheng Song, C. Yong, W. Shu, Z. You, Yi-Hsien Lin, O. Shana'a
Global Navigation Satellite Systems (GNSS) have a spectrum allocation shown in Fig. 19.4.1. The time-to-first-lock and location accuracy can be improved through simultaneous reception of two different satellite signals. This usually necessitates the use of two dedicated receivers [1] driven by a single and sometimes two separate synthesizers, which increases complexity, die area, and most importantly current consumption. To solve this problem, the architecture shown in Fig. 19.4.1 is proposed. The SoC consists of one single reconfigurable low-IF receiver, a single fractional-N frequency synthesizer, and a digital baseband processor. Since different satellite signals are uncorrelated and are buried well below the noise floor, they can be amplified and downconverted by the same RF/analog chain as an image of one another, and then separated in the digital domain by the corresponding correlator and signal processor. In the case of simultaneous GPS/Galileo and Glonass dual reception, the LO (fLO_GG) is set to 1588.608MHz. As a result, the GPS/Galileo signal becomes the image of the Glonass satellite signal with an IF frequency of 13.1MHz. Similarly, when the LO (fLO_GB) is set to 1568.256MHz, the resulting IF frequency is about 7.1MHz for GPS/Galileo and Beidou dual reception. For GPS/Galileo-only reception, the LO (fLO_GPS) is set to 1571.328MHz resulting in an IF frequency of 4.092MHz.
全球导航卫星系统(GNSS)频谱分配如图19.4.1所示。通过同时接收两种不同的卫星信号,可以提高首次锁定时间和定位精度。这通常需要使用两个专用接收器[1],由单个(有时是两个独立的合成器)驱动,这增加了复杂性,芯片面积,最重要的是电流消耗。为了解决这个问题,我们提出了如图19.4.1所示的架构。SoC由一个可重构的低中频接收器、一个分数n频率合成器和一个数字基带处理器组成。由于不同的卫星信号是不相关的,并且深埋在噪声底之下,因此它们可以通过相同的RF/模拟链作为彼此的图像进行放大和下转换,然后通过相应的相关器和信号处理器在数字域中分离。在GPS/Galileo和Glonass同时双接收的情况下,LO (fLO_GG)设置为1588.608MHz。因此,GPS/Galileo信号成为Glonass卫星信号的图像,中频为13.1MHz。同样,当LO (fLO_GB)设置为1568.256MHz时,GPS/Galileo和北斗双接收的中频频率约为7.1MHz。对于GPS/Galileo-only接收,LO (fLO_GPS)被设置为1571.328MHz,导致中频频率为4.092MHz。
{"title":"A universal GNSS (GPS/Galileo/Glonass/Beidou) SoC with a 0.25mm2 radio in 40nm CMOS","authors":"Chun-Geik Tan, Fei Song, Tieng Yi Choke, Ming Kong, De-Cheng Song, C. Yong, W. Shu, Z. You, Yi-Hsien Lin, O. Shana'a","doi":"10.1109/ISSCC.2013.6487758","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487758","url":null,"abstract":"Global Navigation Satellite Systems (GNSS) have a spectrum allocation shown in Fig. 19.4.1. The time-to-first-lock and location accuracy can be improved through simultaneous reception of two different satellite signals. This usually necessitates the use of two dedicated receivers [1] driven by a single and sometimes two separate synthesizers, which increases complexity, die area, and most importantly current consumption. To solve this problem, the architecture shown in Fig. 19.4.1 is proposed. The SoC consists of one single reconfigurable low-IF receiver, a single fractional-N frequency synthesizer, and a digital baseband processor. Since different satellite signals are uncorrelated and are buried well below the noise floor, they can be amplified and downconverted by the same RF/analog chain as an image of one another, and then separated in the digital domain by the corresponding correlator and signal processor. In the case of simultaneous GPS/Galileo and Glonass dual reception, the LO (fLO_GG) is set to 1588.608MHz. As a result, the GPS/Galileo signal becomes the image of the Glonass satellite signal with an IF frequency of 13.1MHz. Similarly, when the LO (fLO_GB) is set to 1568.256MHz, the resulting IF frequency is about 7.1MHz for GPS/Galileo and Beidou dual reception. For GPS/Galileo-only reception, the LO (fLO_GPS) is set to 1571.328MHz resulting in an IF frequency of 4.092MHz.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80402333","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 42
40nm embedded SG-MONOS flash macros for automotive with 160MHz random access for code and endurance over 10M cycles for data 用于汽车的40nm嵌入式SG-MONOS闪存宏,具有160MHz随机访问代码和超过10M周期的数据持久性
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487704
T. Kono, T. Ito, T. Tsuruda, Takayuki Nishiyama, Tsutomu Nagasawa, Tomoya Ogawa, Y. Kawashima, H. Hidaka, T. Yamauchi
This paper presents 40nm eFlash macros for automotive. There are three key features; 1) a 40nm SG-MONOS cell scaled to the next generation of [1]; 2) a fast random-read-access (over 160MHz) and the developed sense amplifier (SA); and, 3) circuit techniques for reliable and fast P/E operations even at the junction temperature (Tj) of 170°C.
本文介绍了40nm汽车用eFlash宏。有三个关键特征;1) 40nm SG-MONOS细胞,尺寸为下一代[1];2)快速随机读取访问(超过160MHz)和开发的感测放大器(SA);3)即使在结温(Tj)为170°C的情况下,也能实现可靠和快速的P/E操作的电路技术。
{"title":"40nm embedded SG-MONOS flash macros for automotive with 160MHz random access for code and endurance over 10M cycles for data","authors":"T. Kono, T. Ito, T. Tsuruda, Takayuki Nishiyama, Tsutomu Nagasawa, Tomoya Ogawa, Y. Kawashima, H. Hidaka, T. Yamauchi","doi":"10.1109/ISSCC.2013.6487704","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487704","url":null,"abstract":"This paper presents 40nm eFlash macros for automotive. There are three key features; 1) a 40nm SG-MONOS cell scaled to the next generation of [1]; 2) a fast random-read-access (over 160MHz) and the developed sense amplifier (SA); and, 3) circuit techniques for reliable and fast P/E operations even at the junction temperature (Tj) of 170°C.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77079749","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 41
期刊
2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1