首页 > 最新文献

2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers最新文献

英文 中文
A 2.5-to-3.3GHz CMOS Class-D VCO 2.5- 3.3 ghz CMOS d类压控振荡器
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487763
Luca Fanori, P. Andreani
Power consumption in LC oscillators for wireless communications is a popular research topic, where the Class-C oscillator has been proposed to improve the efficiency of the standard Class-B oscillator (most often referred to as cross-coupled differential-pair LC-tank oscillator). In this work, we introduce the Class-D oscillator to further reduce power consumption for a desired phase noise level. Class-D oscillators have been known since 1959, but their use in GHz applications had to wait for nm CMOS processes offering excellent switches with manageable parasitic capacitances. The VCO has been designed in a standard 65nm CMOS process without any thick metal layer. The LC tank, employing a single-turn four-finger 0.59nH inductor, has a Q of 10-11 at 3GHz, estimated from post-layout simulations (including PCB) fitting the measured power consumption.
用于无线通信的LC振荡器的功耗是一个热门的研究课题,其中c类振荡器已被提出以提高标准b类振荡器(通常称为交叉耦合差分对LC-tank振荡器)的效率。在这项工作中,我们引入了d类振荡器,以进一步降低功耗,达到所需的相位噪声水平。d类振荡器早在1959年就被发现了,但它们在GHz应用中的应用必须等待nm CMOS工艺提供具有可控寄生电容的优秀开关。该VCO采用标准的65nm CMOS工艺设计,没有任何厚金属层。LC槽采用单匝四指0.59nH电感器,在3GHz时的Q值为10-11,从布局后仿真(包括PCB)拟合测量的功耗估计。
{"title":"A 2.5-to-3.3GHz CMOS Class-D VCO","authors":"Luca Fanori, P. Andreani","doi":"10.1109/ISSCC.2013.6487763","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487763","url":null,"abstract":"Power consumption in LC oscillators for wireless communications is a popular research topic, where the Class-C oscillator has been proposed to improve the efficiency of the standard Class-B oscillator (most often referred to as cross-coupled differential-pair LC-tank oscillator). In this work, we introduce the Class-D oscillator to further reduce power consumption for a desired phase noise level. Class-D oscillators have been known since 1959, but their use in GHz applications had to wait for nm CMOS processes offering excellent switches with manageable parasitic capacitances. The VCO has been designed in a standard 65nm CMOS process without any thick metal layer. The LC tank, employing a single-turn four-finger 0.59nH inductor, has a Q of 10-11 at 3GHz, estimated from post-layout simulations (including PCB) fitting the measured power consumption.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77569881","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 37
A 57.9-to-68.3GHz 24.6mW frequency synthesizer with in-phase injection-coupled QVCO in 65nm CMOS 57.9 ~ 68.3 ghz 24.6mW同相注入耦合QVCO频率合成器
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487767
Xiang Yi, C. Boon, Hang-Ji Liu, Jia-fu Lin, J. Ong, W. M. Lim
Under the influence of increasing demand for high-data-rate communication systems such as 60GHz band applications, the requirements of PLLs keep getting higher. In a mm-Wave direct-conversion transceiver, the quadrature LO signal generation is challenging. The conventional techniques to generate quadrature LO signals suffer from many problems. The method of using a divide-by-2 divider after a VCO with double LO frequency is popular in multi-GHz designs, but it is difficult to be realized at mm-Wave frequencies. Employing passive RC complex filters is another way to generate quadrature signals, but high power is required to compensate its loss. The conventional parallel-coupled QVCO seems to be a good choice for mm-Wave application. However, the approach suffers from poor phase noise. This work presents a fully integrated 57.9-to-68.3GHz frequency synthesizer, which employs an in-phase injection-coupled QVCO (IPIC-QVCO) to produce low-phase-noise quadrature signals with low power.
在60GHz频段应用等高数据速率通信系统需求不断增长的影响下,对锁相环的要求也越来越高。在毫米波直接转换收发器中,正交LO信号的产生具有挑战性。传统的正交本征信号生成方法存在许多问题。在多ghz设计中,在双LO频率的压控振荡器后加1 / 2分频器是常用的方法,但在毫米波频率下很难实现。采用无源RC复合滤波器是产生正交信号的另一种方法,但需要高功率来补偿其损耗。传统的并行耦合QVCO似乎是毫米波应用的一个很好的选择。然而,该方法存在相位噪声差的问题。本文提出了一种完全集成的57.9 ~ 68.3 ghz频率合成器,该合成器采用同相注入耦合QVCO (IPIC-QVCO)产生低功耗的低相位噪声正交信号。
{"title":"A 57.9-to-68.3GHz 24.6mW frequency synthesizer with in-phase injection-coupled QVCO in 65nm CMOS","authors":"Xiang Yi, C. Boon, Hang-Ji Liu, Jia-fu Lin, J. Ong, W. M. Lim","doi":"10.1109/ISSCC.2013.6487767","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487767","url":null,"abstract":"Under the influence of increasing demand for high-data-rate communication systems such as 60GHz band applications, the requirements of PLLs keep getting higher. In a mm-Wave direct-conversion transceiver, the quadrature LO signal generation is challenging. The conventional techniques to generate quadrature LO signals suffer from many problems. The method of using a divide-by-2 divider after a VCO with double LO frequency is popular in multi-GHz designs, but it is difficult to be realized at mm-Wave frequencies. Employing passive RC complex filters is another way to generate quadrature signals, but high power is required to compensate its loss. The conventional parallel-coupled QVCO seems to be a good choice for mm-Wave application. However, the approach suffers from poor phase noise. This work presents a fully integrated 57.9-to-68.3GHz frequency synthesizer, which employs an in-phase injection-coupled QVCO (IPIC-QVCO) to produce low-phase-noise quadrature signals with low power.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79405636","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 35
A 0.7W fully integrated 42GHz power amplifier with 10% PAE in 0.13µm SiGe BiCMOS 一个0.7W完全集成的42GHz功率放大器,在0.13µm SiGe BiCMOS中具有10%的PAE
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487673
Wei Tai, L. Carley, D. Ricketts
In this paper, we report a fully integrated power amplifier (PA) architecture that combines the power of 16 on-chip PAs using a 16-way zero-degree combiner to achieve an output power of 0.7W with a power-added efficiency (PAE) of 10% at 42GHz and a -3dB bandwidth of 9GHz. This is 2.6 times more output power than a recently reported millimeter-Wave (mm-Wave) silicon-based PA [1]. The circuit is a fully integrated mm-Wave PA achieving a leading output power approaching 1 Watt in a silicon process.
在本文中,我们报告了一种完全集成的功率放大器(PA)架构,该架构使用16路零度组合器将16个片上PA的功率组合在一起,在42GHz和9GHz的-3dB带宽下实现0.7W的输出功率和10%的功率附加效率(PAE)。这是最近报道的毫米波(mm-Wave)硅基PA输出功率的2.6倍[1]。该电路是一个完全集成的毫米波放大器,在硅工艺中实现了接近1瓦的领先输出功率。
{"title":"A 0.7W fully integrated 42GHz power amplifier with 10% PAE in 0.13µm SiGe BiCMOS","authors":"Wei Tai, L. Carley, D. Ricketts","doi":"10.1109/ISSCC.2013.6487673","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487673","url":null,"abstract":"In this paper, we report a fully integrated power amplifier (PA) architecture that combines the power of 16 on-chip PAs using a 16-way zero-degree combiner to achieve an output power of 0.7W with a power-added efficiency (PAE) of 10% at 42GHz and a -3dB bandwidth of 9GHz. This is 2.6 times more output power than a recently reported millimeter-Wave (mm-Wave) silicon-based PA [1]. The circuit is a fully integrated mm-Wave PA achieving a leading output power approaching 1 Watt in a silicon process.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77802625","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 53
F2: VLSI power-management techniques: Principles and applications 2: VLSI电源管理技术:原理与应用
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487601
Leland Chang, S. Morton, Ken Chang, Jin-Man Han, P. Malcovati, V. Stojanović
Across the spectrum of microelectronics applications, power management is critical to the enabling of power-efficient products. This Forum will provide practicing circuit designers with a summary of power-management techniques, including perspectives from a wide range of product applications, and an outlook for the future in the context of coming challenges. The first four speakers in this Forum will present the general principles in development today, including power-gating and state-retention modes, PLL/DLL techniques for dynamic frequency scaling, integrated voltage regulators for dynamic voltage scaling, and low-power signaling. In the second half, four speakers representing different industry perspectives, including microprocessors, consumer electronics, microcontrollers and mobile, and DRAM, will utilize practical case studies to detail current usage of power-management techniques and speculate on future trends.
在各种微电子应用中,电源管理对于实现高能效产品至关重要。本次论坛将为实践电路设计人员提供电源管理技术的总结,包括从广泛的产品应用的角度,以及在即将到来的挑战背景下对未来的展望。本次论坛的前四位演讲者将介绍当今发展的一般原理,包括功率门控和状态保持模式,用于动态频率缩放的PLL/DLL技术,用于动态电压缩放的集成电压调节器,以及低功耗信号。在下半场,四位代表不同行业观点的演讲者,包括微处理器、消费电子、微控制器和移动以及DRAM,将利用实际案例研究详细介绍电源管理技术的当前使用情况,并推测未来趋势。
{"title":"F2: VLSI power-management techniques: Principles and applications","authors":"Leland Chang, S. Morton, Ken Chang, Jin-Man Han, P. Malcovati, V. Stojanović","doi":"10.1109/ISSCC.2013.6487601","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487601","url":null,"abstract":"Across the spectrum of microelectronics applications, power management is critical to the enabling of power-efficient products. This Forum will provide practicing circuit designers with a summary of power-management techniques, including perspectives from a wide range of product applications, and an outlook for the future in the context of coming challenges. The first four speakers in this Forum will present the general principles in development today, including power-gating and state-retention modes, PLL/DLL techniques for dynamic frequency scaling, integrated voltage regulators for dynamic voltage scaling, and low-power signaling. In the second half, four speakers representing different industry perspectives, including microprocessors, consumer electronics, microcontrollers and mobile, and DRAM, will utilize practical case studies to detail current usage of power-management techniques and speculate on future trends.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74286036","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A digitally modulated mm-Wave cartesian beamforming transmitter with quadrature spatial combining 正交空间组合的数字调制毫米波笛卡尔波束形成发射机
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487713
Jiashu Chen, Lu Ye, D. Titz, F. Gianesello, R. Pilard, A. Cathelin, F. Ferrero, C. Luxey, A. Niknejad
With fast-growing demand for high-speed mobile communications and highly saturated spectral usage below 10GHz, mm-Wave frequency bands are emerging as the key playground for future high-data-rate wireless standards. Recent years have witnessed vast technology development on V-band (60GHz) Wireless Personal Area Networks (WPAN) and E-band (80GHz) point-to-point cellular backhauls. However, existing integrated CMOS mm-Wave solutions have relatively poor energy efficiency, especially for the transmitter (TX). This is mainly due to the use of traditional Class-A Power Amplifiers (PAs) that provide good linearity but suffer from low efficiency. In addition, the efficiency of Class-A PAs drop dramatically at power back-offs, making these transmitters even less efficient when conveying non-constant envelope signals. State-of-the-art mm-Wave Class-A PAs show less than 5% efficiency at 6dB back-off [1,2].
随着高速移动通信需求的快速增长和10GHz以下频谱使用的高度饱和,毫米波频段正在成为未来高数据速率无线标准的关键平台。近年来,v波段(60GHz)无线个人区域网络(WPAN)和e波段(80GHz)点对点蜂窝回程技术取得了巨大的发展。然而,现有的集成CMOS毫米波解决方案的能量效率相对较差,特别是对于发射器(TX)。这主要是由于使用传统的a类功率放大器(PAs),提供良好的线性,但效率低。此外,a类PAs的效率在功率回退时急剧下降,使这些发射机在传输非恒定包络信号时效率更低。最先进的毫米波a类放大器在6dB后退时的效率低于5%[1,2]。
{"title":"A digitally modulated mm-Wave cartesian beamforming transmitter with quadrature spatial combining","authors":"Jiashu Chen, Lu Ye, D. Titz, F. Gianesello, R. Pilard, A. Cathelin, F. Ferrero, C. Luxey, A. Niknejad","doi":"10.1109/ISSCC.2013.6487713","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487713","url":null,"abstract":"With fast-growing demand for high-speed mobile communications and highly saturated spectral usage below 10GHz, mm-Wave frequency bands are emerging as the key playground for future high-data-rate wireless standards. Recent years have witnessed vast technology development on V-band (60GHz) Wireless Personal Area Networks (WPAN) and E-band (80GHz) point-to-point cellular backhauls. However, existing integrated CMOS mm-Wave solutions have relatively poor energy efficiency, especially for the transmitter (TX). This is mainly due to the use of traditional Class-A Power Amplifiers (PAs) that provide good linearity but suffer from low efficiency. In addition, the efficiency of Class-A PAs drop dramatically at power back-offs, making these transmitters even less efficient when conveying non-constant envelope signals. State-of-the-art mm-Wave Class-A PAs show less than 5% efficiency at 6dB back-off [1,2].","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74358284","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 82
A 3D vision 2.1Mpixel image sensor for single-lens camera systems 用于单镜头相机系统的3D视觉210万像素图像传感器
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487829
S. Koyama, K. Onozawa, Keisuke Tanaka, Y. Kato
We present a CMOS image sensor that enables a compact 3-dimensional (3D) vision camera system comprising a single set of the sensor and a camera lens. In order to make binocular parallax, which is essential for 3D imaging, the input pupil of the camera lens is presumed to consist of the right-eye and the left-eye domains, where the pixels exclusively receiving light beams from the right-eye domain and those from the left-eye domain, are arranged alternately. In addition, the sensor features an on-chip lenticular lens to split the incident light from the two directions and a Digital Micro Lens [1,2] to focus the split light beams onto the dedicated pixels without significant crosstalk. The fabricated 3D image sensor enables not only successful stereovision imaging in color with sufficiently high sensitivity, but also accurate calculation of distance.
我们提出了一种CMOS图像传感器,它可以实现紧凑的三维(3D)视觉相机系统,该系统由一组传感器和一个相机镜头组成。为了产生三维成像所必需的双目视差,假定相机镜头的输入瞳孔由右眼域和左眼域组成,其中仅接收来自右眼域和来自左眼域的光束的像素交替排列。此外,该传感器还具有片上透镜透镜,用于从两个方向分离入射光,以及一个数字微透镜[1,2],用于将分裂的光束聚焦到专用像素上,而不会产生明显的串扰。所制备的三维图像传感器不仅能够实现具有足够高灵敏度的彩色立体视觉成像,而且能够精确地计算距离。
{"title":"A 3D vision 2.1Mpixel image sensor for single-lens camera systems","authors":"S. Koyama, K. Onozawa, Keisuke Tanaka, Y. Kato","doi":"10.1109/ISSCC.2013.6487829","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487829","url":null,"abstract":"We present a CMOS image sensor that enables a compact 3-dimensional (3D) vision camera system comprising a single set of the sensor and a camera lens. In order to make binocular parallax, which is essential for 3D imaging, the input pupil of the camera lens is presumed to consist of the right-eye and the left-eye domains, where the pixels exclusively receiving light beams from the right-eye domain and those from the left-eye domain, are arranged alternately. In addition, the sensor features an on-chip lenticular lens to split the incident light from the two directions and a Digital Micro Lens [1,2] to focus the split light beams onto the dedicated pixels without significant crosstalk. The fabricated 3D image sensor enables not only successful stereovision imaging in color with sufficiently high sensitivity, but also accurate calculation of distance.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90100176","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Experimental demonstration of a fully digital capacitive sensor interface built entirely using carbon-nanotube FETs 完全使用碳纳米管场效应管构建的全数字电容式传感器接口的实验演示
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487660
M. Shulaker, J. V. Rethy, G. Hills, Hong-Yu Chen, G. Gielen, H. Wong, S. Mitra
This paper presents a complete sensor interface implemented entirely using CNFETs that can be fabricated reproducibly in a VLSI-compatible fashion. This is made possible by using the imperfection-immune paradigm [4], which successfully overcomes major obstacles for CNFET-based circuits: mis-positioned and metallic carbon nanotubes (CNTs). 44 CNFETs, each consisting of 10 to 200 CNTs depending on transistor sizing, are used to build the circuit. In contrast, earlier demonstrations of CNFET-based circuits included only small stand-alone components such as an adder sum, latch, percolation transport-based decoder, and ring oscillator on a single CNT [4]. Because it is easier to implement digital circuits using immature technologies compared to analog circuits, highly-digital sensor interfaces such as the PLL-based design in [5] are ideal implementations when using a new technology. The implemented capacitive sensor interface is based on a first-order Bang-Bang Phase-Locked Loop (BBPLL) digital architecture, which processes the sensor information entirely in the frequency domain (Fig. 6.8.1). Its funcationality is described in detail in [5].
本文提出了一个完全使用cnfet实现的完整传感器接口,该接口可以以vlsi兼容的方式可重复制造。这是通过使用不完美免疫范式[4]实现的,该范式成功克服了基于cnfet的电路的主要障碍:错误定位和金属碳纳米管(CNTs)。44个cnfet,每个由10到200个cnt组成,这取决于晶体管的尺寸,用于构建电路。相比之下,早期基于cnfet的电路演示仅包括单个CNT上的小型独立组件,如加法器和、锁存器、基于渗透传输的解码器和环形振荡器[4]。由于与模拟电路相比,使用不成熟的技术实现数字电路更容易,因此在使用新技术时,高数字传感器接口(如[5]中基于锁相环的设计)是理想的实现。所实现的电容式传感器接口基于一阶Bang-Bang锁相环(BBPLL)数字架构,该架构完全在频域处理传感器信息(图6.8.1)。其功能在[5]中有详细描述。
{"title":"Experimental demonstration of a fully digital capacitive sensor interface built entirely using carbon-nanotube FETs","authors":"M. Shulaker, J. V. Rethy, G. Hills, Hong-Yu Chen, G. Gielen, H. Wong, S. Mitra","doi":"10.1109/ISSCC.2013.6487660","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487660","url":null,"abstract":"This paper presents a complete sensor interface implemented entirely using CNFETs that can be fabricated reproducibly in a VLSI-compatible fashion. This is made possible by using the imperfection-immune paradigm [4], which successfully overcomes major obstacles for CNFET-based circuits: mis-positioned and metallic carbon nanotubes (CNTs). 44 CNFETs, each consisting of 10 to 200 CNTs depending on transistor sizing, are used to build the circuit. In contrast, earlier demonstrations of CNFET-based circuits included only small stand-alone components such as an adder sum, latch, percolation transport-based decoder, and ring oscillator on a single CNT [4]. Because it is easier to implement digital circuits using immature technologies compared to analog circuits, highly-digital sensor interfaces such as the PLL-based design in [5] are ideal implementations when using a new technology. The implemented capacitive sensor interface is based on a first-order Bang-Bang Phase-Locked Loop (BBPLL) digital architecture, which processes the sensor information entirely in the frequency domain (Fig. 6.8.1). Its funcationality is described in detail in [5].","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90144471","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 33
Cycling endurance optimization scheme for 1Mb STT-MRAM in 40nm technology 40nm工艺下1Mb STT-MRAM循环耐久性优化方案
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487710
Hung-Chang Yu, Kai-Chun Lin, Ku-Feng Lin, Chin-Yi Huang, Y. Chih, T. Ong, T. Chang, S. Natarajan, L. Tran
Spin-transfer-torque (STT) MRAM is considered as a good candidate for next-generation memory that can replace Flash, SRAM and DRAM as well. As a replacement of SRAM or DRAM, write endurance more than 1012 cycles is required. However, due to limitation in the reliability of magnetic tunnel junction (MTJ), the required endurance may not be achieved if the MTJ is overstressed by the write voltage. In this paper, a new write-path design with wire-resistance-balance scheme is presented that minimizes the voltage stress on MTJ during write operation for cells near the write buffer. Simulation shows the voltage across MTJ becomes more uniform for cells from top to bottom of array. This new scheme is implemented into 1Mb MRAM test-chip and is fabricated in TSMC 40nm low-power process. Cycling testing shows that write endurance can be improved as compared to the previous design.
自旋转移扭矩(STT) MRAM被认为是替代Flash、SRAM和DRAM的下一代存储器的良好候选者。作为SRAM或DRAM的替代品,写入寿命需要超过1012个周期。然而,由于磁隧道结(MTJ)可靠性的限制,如果MTJ受到写电压的过度应力,则可能无法达到所需的耐用性。本文提出了一种新的写路径设计,采用导线电阻平衡方案,使靠近写缓冲区的单元在写操作时对MTJ的电压应力最小化。仿真结果表明,从阵列的顶部到底部,单元间的MTJ电压变得更加均匀。该方案被实现在1Mb MRAM测试芯片上,并采用台积电40nm低功耗工艺制造。循环测试表明,与以前的设计相比,写入持久性可以得到改善。
{"title":"Cycling endurance optimization scheme for 1Mb STT-MRAM in 40nm technology","authors":"Hung-Chang Yu, Kai-Chun Lin, Ku-Feng Lin, Chin-Yi Huang, Y. Chih, T. Ong, T. Chang, S. Natarajan, L. Tran","doi":"10.1109/ISSCC.2013.6487710","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487710","url":null,"abstract":"Spin-transfer-torque (STT) MRAM is considered as a good candidate for next-generation memory that can replace Flash, SRAM and DRAM as well. As a replacement of SRAM or DRAM, write endurance more than 1012 cycles is required. However, due to limitation in the reliability of magnetic tunnel junction (MTJ), the required endurance may not be achieved if the MTJ is overstressed by the write voltage. In this paper, a new write-path design with wire-resistance-balance scheme is presented that minimizes the voltage stress on MTJ during write operation for cells near the write buffer. Simulation shows the voltage across MTJ becomes more uniform for cells from top to bottom of array. This new scheme is implemented into 1Mb MRAM test-chip and is fabricated in TSMC 40nm low-power process. Cycling testing shows that write endurance can be improved as compared to the previous design.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84496976","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 37
A 2.5GHz 2.2mW/25µW on/off-state power 2psrms-long-term-jitter digital clock multiplier with 3-reference-cycles power-on time 2.5GHz 2.2mW/25µW开/关状态功率2psms -长抖动数字时钟乘法器,通电时间为3个参考周期
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487724
Tejasvi Anand, Mrunmay Talegaonkar, A. Elshazly, B. Young, P. Hanumolu
Modern mobile platforms utilize power cycling to lower power dissipation and increase battery life. By turning off the circuits that are not in use, power cycling provides a viable means to make power dissipation proportional to workload, hence achieving energy proportional operation. The effectiveness of this approach is governed by the turn on/off times, off-state power dissipation, and energy overhead due to power-cycling. Ideally, the circuits must turn on/off in zero time, consume no off-state power, and incur minimal energy overhead during on-to-off and off-to-on transitions. Conventional clock multipliers implemented using phase-locked loops (PLLs) present the biggest bottleneck in achieving these performance goals due to their long locking times. Even if the PLL is frequency locked, the slow phase acquisition process limits the power-on time [1-2]. Techniques such as dynamic phase-error compensation [3], edge-missing compensation [4], and hybrid PLLs [5] improve the phase acquisition time to at best few hundred reference cycles. However, such improvements are inadequate to make best use of power-cycling. Multiplying injection-locked oscillators (MILO) are shown to lock faster than PLLs, but suffer from conflicting requirements on injection strength to simultaneously achieve low jitter and fast locking. Increasing the injection strength extends lock range and reduces locking time, but severely degrades the deterministic jitter performance [6]. In view of these drawbacks, we propose a highly digital clock multiplier that seeks to achieve low jitter, fast locking, and near-zero off-state power. By using a highly scalable digital architecture with accurate frequency presetting and instantaneous phase acquisition, the prototype 8×/16× clock multiplier achieves 10ns (3 reference cycles) power-on time, 2psrms long-term absolute jitter, less than 25μW off-state power, 12pJ energy overhead for on/off transition, and 2.2mW on-state power at 2.5GHz output frequency.
现代移动平台利用功率循环来降低功耗并延长电池寿命。通过关闭不使用的电路,功率循环提供了一种可行的方法,使功耗与工作负载成比例,从而实现能量比例运行。这种方法的有效性取决于开/关时间、非状态功耗和由于功率循环引起的能量开销。理想情况下,电路必须在零时间内打开/关闭,不消耗断开状态功率,并且在通-关和关-通转换期间产生最小的能量开销。使用锁相环(pll)实现的传统时钟乘法器由于锁定时间长,在实现这些性能目标方面存在最大的瓶颈。即使锁相环是锁频的,缓慢的相位采集过程限制了上电时间[1-2]。动态相位误差补偿[3]、边缘缺失补偿[4]和混合锁相环[5]等技术将相位采集时间最多提高到几百个参考周期。然而,这些改进不足以充分利用电力循环。倍增注入锁定振荡器(MILO)的锁定速度比锁相环快,但同时实现低抖动和快速锁定的注入强度存在冲突要求。增加注入强度可以扩大锁定范围,缩短锁定时间,但会严重降低确定性抖动性能[6]。鉴于这些缺点,我们提出了一种高数字时钟乘法器,旨在实现低抖动,快速锁定和接近零的非状态功率。通过采用高度可扩展的数字架构,精确的频率预置和瞬时相位采集,原型8×/16×时钟乘法器在2.5GHz输出频率下实现了10ns(3个参考周期)的上电时间、2psrms的长期绝对抖动、小于25μW的关断功率、12pJ的开关转换能量开销和2.2mW的导通功率。
{"title":"A 2.5GHz 2.2mW/25µW on/off-state power 2psrms-long-term-jitter digital clock multiplier with 3-reference-cycles power-on time","authors":"Tejasvi Anand, Mrunmay Talegaonkar, A. Elshazly, B. Young, P. Hanumolu","doi":"10.1109/ISSCC.2013.6487724","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487724","url":null,"abstract":"Modern mobile platforms utilize power cycling to lower power dissipation and increase battery life. By turning off the circuits that are not in use, power cycling provides a viable means to make power dissipation proportional to workload, hence achieving energy proportional operation. The effectiveness of this approach is governed by the turn on/off times, off-state power dissipation, and energy overhead due to power-cycling. Ideally, the circuits must turn on/off in zero time, consume no off-state power, and incur minimal energy overhead during on-to-off and off-to-on transitions. Conventional clock multipliers implemented using phase-locked loops (PLLs) present the biggest bottleneck in achieving these performance goals due to their long locking times. Even if the PLL is frequency locked, the slow phase acquisition process limits the power-on time [1-2]. Techniques such as dynamic phase-error compensation [3], edge-missing compensation [4], and hybrid PLLs [5] improve the phase acquisition time to at best few hundred reference cycles. However, such improvements are inadequate to make best use of power-cycling. Multiplying injection-locked oscillators (MILO) are shown to lock faster than PLLs, but suffer from conflicting requirements on injection strength to simultaneously achieve low jitter and fast locking. Increasing the injection strength extends lock range and reduces locking time, but severely degrades the deterministic jitter performance [6]. In view of these drawbacks, we propose a highly digital clock multiplier that seeks to achieve low jitter, fast locking, and near-zero off-state power. By using a highly scalable digital architecture with accurate frequency presetting and instantaneous phase acquisition, the prototype 8×/16× clock multiplier achieves 10ns (3 reference cycles) power-on time, 2psrms long-term absolute jitter, less than 25μW off-state power, 12pJ energy overhead for on/off transition, and 2.2mW on-state power at 2.5GHz output frequency.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73511993","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
An 8Gb/s 1.5mW/Gb/s 8-tap 6b NRZ/PAM-4 Tomlinson-Harashima precoding transmitter for future memory-link applications in 22nm CMOS 8Gb/s 1.5mW/Gb/s 8-tap 6b NRZ/PAM-4 Tomlinson-Harashima预编码发射机,用于未来22nm CMOS存储链路应用
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487791
M. Kossel, T. Toifl, P. Francese, M. Brändli, C. Menolfi, P. Buchmann, L. Kull, T. Andersen, T. Morf
Memory links use variable-impedance drivers, feed-forward equalization (FFE) [1], on-die termination (ODT) and slew-rate control to optimize the signal integrity (SI). An asymmetric DRAM link configuration exploits the availability of a fast CMOS technology on the memory controller side to implement powerful equalization, while keeping the circuit complexity on the DRAM side relatively simple. This paper proposes the use of Tomlinson Harashima precoding (THP) [2-4] in a memory controller as replacement of the afore-mentioned SI optimization techniques. THP is a transmitter equalization technique in which post-cursor inter-symbol interference (ISI) is cancelled by means of an infinite impulse response (IIR) filter with modulo-based amplitude limitation; similar to a decision feedback equalizer (DFE) on the receive side. However, in contrast to a DFE, THP does not suffer from error propagation.
内存链路使用可变阻抗驱动器、前馈均衡(FFE)[1]、片上端接(ODT)和慢速控制来优化信号完整性(SI)。非对称DRAM链路配置利用了存储器控制器侧快速CMOS技术的可用性来实现强大的均衡,同时保持DRAM侧的电路复杂性相对简单。本文提出在存储器控制器中使用Tomlinson Harashima预编码(THP)[2-4]来替代上述SI优化技术。THP是一种发射机均衡技术,该技术通过基于模的无限脉冲响应(IIR)滤波器来消除光标后符号间干扰(ISI);类似于接收端的决策反馈均衡器(DFE)。然而,与DFE相比,THP不受错误传播的影响。
{"title":"An 8Gb/s 1.5mW/Gb/s 8-tap 6b NRZ/PAM-4 Tomlinson-Harashima precoding transmitter for future memory-link applications in 22nm CMOS","authors":"M. Kossel, T. Toifl, P. Francese, M. Brändli, C. Menolfi, P. Buchmann, L. Kull, T. Andersen, T. Morf","doi":"10.1109/ISSCC.2013.6487791","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487791","url":null,"abstract":"Memory links use variable-impedance drivers, feed-forward equalization (FFE) [1], on-die termination (ODT) and slew-rate control to optimize the signal integrity (SI). An asymmetric DRAM link configuration exploits the availability of a fast CMOS technology on the memory controller side to implement powerful equalization, while keeping the circuit complexity on the DRAM side relatively simple. This paper proposes the use of Tomlinson Harashima precoding (THP) [2-4] in a memory controller as replacement of the afore-mentioned SI optimization techniques. THP is a transmitter equalization technique in which post-cursor inter-symbol interference (ISI) is cancelled by means of an infinite impulse response (IIR) filter with modulo-based amplitude limitation; similar to a decision feedback equalizer (DFE) on the receive side. However, in contrast to a DFE, THP does not suffer from error propagation.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90437430","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
期刊
2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1