首页 > 最新文献

2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers最新文献

英文 中文
A 10mW 37.8GHz current-redistribution BiCMOS VCO with an average FOMT of −193.5dBc/Hz 一种10mW 37.8GHz电流再分配BiCMOS压控振荡器,平均fof为−193.5dBc/Hz
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487677
Qiyang Wu, T. Quach, A. Mattamana, S. Elabd, S. Dooley, J. Mccue, P. Orlando, G. Creech, W. Khalil
The continued scaling of digital CMOS technology has enabled mm-Wave VCOs with record figures of merit [1-5]. This is mainly driven by the increase in cutoff frequency and decrease in power consumption brought by lower supply voltages. However, at mm-Wave, challenges such as low Q-factor of the tuning varactors and switched capacitors result in a sharp degradation in the resonator Q. For an NMOS LC-VCO (Fig. 8.8.1), a large bias current and high transconductance (gm) are needed to maintain a given oscillation amplitude and to satisfy the startup condition. Since gm has a weak dependency on current in strong inversion, it can primarily be increased by enlarging the device width, W1, as illustrated in Fig. 8.8.2. Further degradation in the device gm is experienced when the VCO operates near the transistor cutoff frequency, necessitating an even larger W1 (Fig. 8.8.2). This results in a large fixed capacitance Cfix1 and hence a limited VCO tuning range (TR) [1,2]. It can also be shown that for the same bias current (i.e. output swing), increasing W1 comes at the expense of large thermal (1/f2) noise. This can be illustrated by examining the excess noise factor F, defined as the ratio between the transistors' switching noise and the tank resistor noise [6]. As depicted in Fig. 8.8.2, an extra 5dB of 1/f2 noise is added to the VCO output when the transistor W1 is increased from 20μm to 60μm, which is required to meet a 2× startup margin. Moreover, increasing W1 leads to a higher contribution of 1/f3 noise from up-converted 1/f noise [7].
数字CMOS技术的持续扩展使毫米波vco的性能达到了创纪录的水平[1-5]。这主要是由于较低的电源电压带来的截止频率的增加和功耗的降低。然而,在毫米波下,调谐变容管和开关电容器的低q因子等挑战导致谐振器q急剧下降。对于NMOS LC-VCO(图8.8.1),需要大偏置电流和高跨导(gm)来维持给定的振荡幅度并满足启动条件。由于在强反转中,gm对电流的依赖性较弱,因此增加gm的主要方法是增大器件宽度W1,如图8.8.2所示。当压控振荡器工作在晶体管截止频率附近时,器件的W1进一步下降,需要更大的W1(图8.8.2)。这导致了一个大的固定电容Cfix1,因此有限的VCO调谐范围(TR)[1,2]。还可以表明,对于相同的偏置电流(即输出摆幅),增加W1以牺牲大的热(1/f2)噪声为代价。这可以通过检查多余噪声因子F来说明,F定义为晶体管开关噪声与槽电阻噪声[6]之间的比率。如图8.8.2所示,当晶体管W1从20μm增加到60μm时,为了满足2倍的启动裕度,压控振荡器输出额外增加了5dB的1/f2噪声。此外,W1的增加导致上转换的1/f噪声[7]对1/f3噪声的贡献更高。
{"title":"A 10mW 37.8GHz current-redistribution BiCMOS VCO with an average FOMT of −193.5dBc/Hz","authors":"Qiyang Wu, T. Quach, A. Mattamana, S. Elabd, S. Dooley, J. Mccue, P. Orlando, G. Creech, W. Khalil","doi":"10.1109/ISSCC.2013.6487677","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487677","url":null,"abstract":"The continued scaling of digital CMOS technology has enabled mm-Wave VCOs with record figures of merit [1-5]. This is mainly driven by the increase in cutoff frequency and decrease in power consumption brought by lower supply voltages. However, at mm-Wave, challenges such as low Q-factor of the tuning varactors and switched capacitors result in a sharp degradation in the resonator Q. For an NMOS LC-VCO (Fig. 8.8.1), a large bias current and high transconductance (gm) are needed to maintain a given oscillation amplitude and to satisfy the startup condition. Since gm has a weak dependency on current in strong inversion, it can primarily be increased by enlarging the device width, W1, as illustrated in Fig. 8.8.2. Further degradation in the device gm is experienced when the VCO operates near the transistor cutoff frequency, necessitating an even larger W1 (Fig. 8.8.2). This results in a large fixed capacitance Cfix1 and hence a limited VCO tuning range (TR) [1,2]. It can also be shown that for the same bias current (i.e. output swing), increasing W1 comes at the expense of large thermal (1/f2) noise. This can be illustrated by examining the excess noise factor F, defined as the ratio between the transistors' switching noise and the tank resistor noise [6]. As depicted in Fig. 8.8.2, an extra 5dB of 1/f2 noise is added to the VCO output when the transistor W1 is increased from 20μm to 60μm, which is required to meet a 2× startup margin. Moreover, increasing W1 leads to a higher contribution of 1/f3 noise from up-converted 1/f noise [7].","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87498521","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
A 1/4-inch 8Mpixel back-illuminated stacked CMOS image sensor 1/4英寸800万像素背照堆叠CMOS图像传感器
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487825
S. Sukegawa, T. Umebayashi, T. Nakajima, Hiroshi Kawanobe, K. Koseki, I. Hirota, T. Haruta, Masanori Kasai, Koji Fukumoto, T. Wakano, Keishi Inoue, Hiroshi Takahashi, T. Nagano, Y. Nitta, T. Hirayama, Noriyuki Fukushima
In recent years, cellphone cameras have come to require much more diversification and increased functionalities, due to the strong growth of the smartphone market. In addition to the image quality, speed, and pixel counts that conventional image sensors require, there is high demand for new functions that can respond to various photo-taking scenes. We developed a stacked CMOS image sensor (CIS), composed of conventional back-illuminated (BI) image-sensor technology and 65nm standard logic technology.
近年来,由于智能手机市场的强劲增长,手机相机已经要求更多的多样化和增加的功能。除了传统图像传感器所要求的图像质量、速度和像素数外,对能够响应各种拍照场景的新功能的需求也很高。我们开发了一种堆叠式CMOS图像传感器(CIS),该传感器由传统的背照(BI)图像传感器技术和65nm标准逻辑技术组成。
{"title":"A 1/4-inch 8Mpixel back-illuminated stacked CMOS image sensor","authors":"S. Sukegawa, T. Umebayashi, T. Nakajima, Hiroshi Kawanobe, K. Koseki, I. Hirota, T. Haruta, Masanori Kasai, Koji Fukumoto, T. Wakano, Keishi Inoue, Hiroshi Takahashi, T. Nagano, Y. Nitta, T. Hirayama, Noriyuki Fukushima","doi":"10.1109/ISSCC.2013.6487825","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487825","url":null,"abstract":"In recent years, cellphone cameras have come to require much more diversification and increased functionalities, due to the strong growth of the smartphone market. In addition to the image quality, speed, and pixel counts that conventional image sensors require, there is high demand for new functions that can respond to various photo-taking scenes. We developed a stacked CMOS image sensor (CIS), composed of conventional back-illuminated (BI) image-sensor technology and 65nm standard logic technology.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86034992","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 146
A 45nm 6b/cell charge-trapping flash memory using LDPC-based ECC and drift-immune soft-sensing engine 一种45nm 6b/cell电荷捕获闪存,采用基于ldpc的ECC和漂移免疫软测量引擎
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487709
Kin-Chu Ho, Po-Chao Fang, Hsiang-Pang Li, Cheng-Yuan Michael Wang, Hsie-Chia Chang
To satisfy the demand of higher storage density, storing multiple-bits-per-cell technique is widely adopted. As presented in [1], a 4b/cell Flash memory by using error-detection (ED) scheme stores 2b data on two sides of a memory cell individually. Since the noise margin becomes smaller, the distribution drifts due to program disturb, data retention and temperature variation will cause higher raw bit-error-rate (RBER) if the sensing level (voltage) are not adjusted accordingly. The ED scheme can detect the drift direction by counting and storing the number of cells (Ni) with threshold voltage (VTH) below the ith sensing level (VREF i). A simple example with page size 1KB is demonstrated in Fig. 12.7.1. During a read operation, the number of cells (Ni, measured) whose VTH below VREF i is counted and compared to Ni. The ED scheme can find out a sub-optimal sensing level when Ni, measured and Ni is close enough. In this paper, a production 16Gb 45nm 4b/cell ONO-based charge-trapping (CT) Flash memory is demonstrated to achieve 6b/cell capability. Since the adjacent distributions for 6b/cell are much closer to each other, even a BCH code with ED scheme fails to correct all the patterns. However, by using a new 1-3-3 mapping and LDPC codes with a developed drift-immune soft-sensing (DI-SS) engine, the 45nm 4b/cell CT Flash memory is boosted to 6b/cell. The data flow of programming data is also shown in Fig. 12.7.1.
为了满足更高存储密度的要求,存储多比特/单元的技术被广泛采用。如[1]所述,采用错误检测(ED)方案的4b/cell闪存在一个存储单元的两侧分别存储2b个数据。由于噪声余量变小,如果不对传感电平(电压)进行相应的调整,由于程序干扰、数据保留和温度变化引起的分布漂移会导致较高的原始误码率(RBER)。ED方案可以通过计数和存储阈值电压(VTH)低于第i感测电平(VREF i)的单元数(Ni)来检测漂移方向。图12.7.1展示了一个页面大小为1KB的简单示例。在读取操作期间,计算VTH低于VREF i的单元格数(Ni,测量)并将其与Ni进行比较。当Ni、被测值和Ni足够接近时,ED方案可以找到一个次优的传感电平。本文演示了一种16Gb 45nm / 4b/cell的基于ono的电荷捕获(CT)快闪存储器,以实现6b/cell的容量。由于6b/cell的相邻分布彼此更接近,因此即使使用ED方案的BCH代码也无法纠正所有模式。然而,通过使用新的1-3-3映射和LDPC代码以及开发的漂移免疫软检测(DI-SS)引擎,45nm CT闪存的4b/cell被提升到6b/cell。编程数据的数据流也如图12.7.1所示。
{"title":"A 45nm 6b/cell charge-trapping flash memory using LDPC-based ECC and drift-immune soft-sensing engine","authors":"Kin-Chu Ho, Po-Chao Fang, Hsiang-Pang Li, Cheng-Yuan Michael Wang, Hsie-Chia Chang","doi":"10.1109/ISSCC.2013.6487709","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487709","url":null,"abstract":"To satisfy the demand of higher storage density, storing multiple-bits-per-cell technique is widely adopted. As presented in [1], a 4b/cell Flash memory by using error-detection (ED) scheme stores 2b data on two sides of a memory cell individually. Since the noise margin becomes smaller, the distribution drifts due to program disturb, data retention and temperature variation will cause higher raw bit-error-rate (RBER) if the sensing level (voltage) are not adjusted accordingly. The ED scheme can detect the drift direction by counting and storing the number of cells (Ni) with threshold voltage (VTH) below the ith sensing level (VREF i). A simple example with page size 1KB is demonstrated in Fig. 12.7.1. During a read operation, the number of cells (Ni, measured) whose VTH below VREF i is counted and compared to Ni. The ED scheme can find out a sub-optimal sensing level when Ni, measured and Ni is close enough. In this paper, a production 16Gb 45nm 4b/cell ONO-based charge-trapping (CT) Flash memory is demonstrated to achieve 6b/cell capability. Since the adjacent distributions for 6b/cell are much closer to each other, even a BCH code with ED scheme fails to correct all the patterns. However, by using a new 1-3-3 mapping and LDPC codes with a developed drift-immune soft-sensing (DI-SS) engine, the 45nm 4b/cell CT Flash memory is boosted to 6b/cell. The data flow of programming data is also shown in Fig. 12.7.1.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88625685","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 45
A 95fJ/b current-mode transceiver for 10mm on-chip interconnect 95fJ/b电流模式收发器,用于10mm片上互连
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487727
Seon-Kyoo Lee, Seung-Hun Lee, D. Sylvester, D. Blaauw, J. Sim
Data communication between local system blocks through on-chip global interconnects presents significant design challenges in scaled VLSI systems. The goal of this research is to reduce the energy consumed per bit transmitted, while achieving Gb/s data rates over interconnect lengths up to 10mm. Voltage-mode signaling with capacitive boosting [1-2] has been proposed for low-power on-chip interconnects. To increase the data rate over RC-limited interconnect, aggressive equalization schemes should be used in receivers [1-3] and transmitters [1-2] at the cost of significant power consumption. As an alternative to voltage-mode signaling, current-mode signaling has been considered. It was originally used for fast bitline sensing in memory [4-5] to take inherent advantage of a reduced RC time constant. However, prior work on current-mode transceivers for on-chip interconnect shows worse energy efficiency than their voltage-mode counterparts due to large static power dissipation by current-sensing circuit [6-7]. This paper presents a 95fJ/b current-mode transceiver for on-chip global interconnect. The transceiver is implemented in 65nm CMOS and achieves a data rate of up to 4Gb/s over a 10mm link with a BER of less than 10-12.
通过片上全局互连的本地系统块之间的数据通信在规模超大规模集成电路系统中提出了重大的设计挑战。这项研究的目标是减少每比特传输的能量消耗,同时在互连长度达10mm的情况下实现Gb/s的数据速率。电容增强的电压模式信号[1-2]已被提出用于低功耗片上互连。为了提高rc限制互连的数据速率,应该在接收机[1-3]和发射机[1-2]中使用积极的均衡方案,代价是显著的功耗。作为电压模式信号的替代方案,电流模式信号已被考虑。它最初用于内存中的快速位线传感[4-5],以利用减小RC时间常数的固有优势。然而,先前对用于片上互连的电流模式收发器的研究表明,由于电流感测电路的静态功耗大,其能量效率低于电压模式收发器[6-7]。本文提出了一种95fJ/b电流模收发器,用于片上全局互连。收发器采用65nm CMOS,在10mm链路上实现高达4Gb/s的数据速率,误码率小于10-12。
{"title":"A 95fJ/b current-mode transceiver for 10mm on-chip interconnect","authors":"Seon-Kyoo Lee, Seung-Hun Lee, D. Sylvester, D. Blaauw, J. Sim","doi":"10.1109/ISSCC.2013.6487727","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487727","url":null,"abstract":"Data communication between local system blocks through on-chip global interconnects presents significant design challenges in scaled VLSI systems. The goal of this research is to reduce the energy consumed per bit transmitted, while achieving Gb/s data rates over interconnect lengths up to 10mm. Voltage-mode signaling with capacitive boosting [1-2] has been proposed for low-power on-chip interconnects. To increase the data rate over RC-limited interconnect, aggressive equalization schemes should be used in receivers [1-3] and transmitters [1-2] at the cost of significant power consumption. As an alternative to voltage-mode signaling, current-mode signaling has been considered. It was originally used for fast bitline sensing in memory [4-5] to take inherent advantage of a reduced RC time constant. However, prior work on current-mode transceivers for on-chip interconnect shows worse energy efficiency than their voltage-mode counterparts due to large static power dissipation by current-sensing circuit [6-7]. This paper presents a 95fJ/b current-mode transceiver for on-chip global interconnect. The transceiver is implemented in 65nm CMOS and achieves a data rate of up to 4Gb/s over a 10mm link with a BER of less than 10-12.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88673648","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 40
A 210GHz fully integrated differential transceiver with fundamental-frequency VCO in 32nm SOI CMOS 采用32nm SOI CMOS的具有基频压控振荡器的210GHz完全集成差分收发器
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487670
Z. Wang, Pei-Yuan Chiang, Peyman Nazari, Chun-Cheng Wang, Zhiming Chen, P. Heydari
The vastly under-utilized spectrum in the sub-THz frequency range enables disruptive applications including 10Gb/s chip-to-chip wireless communications and imaging/spectroscopy. Owing to aggressive scaling in feature size and device fT/fmax, nanoscale CMOS technology potentially enables integration of sophisticated systems at this frequency range. For example, CMOS sub-THz signal sources and TRXs have been reported [1-4], employing techniques such as distributed active radiator (DAR) and super-harmonic signal generator. The lack of RF amplification in CMOS sub-THz TRXs reported in prior work, however, results in low efficiency (and thus higher power dissipation), and high noise-figure (NF). This paper addresses these issues by demonstrating a 210GHz TRX with on-off-keying (OOK) modulation incorporating a 2×2 TX antenna array, a 2×2 spatial combining power amplifier (PA), a fundamental frequency VCO, and a low noise amplifier (LNA) in a 32nm SOI CMOS process (fT/fmax=250/350GHz).
亚太赫兹频率范围内未充分利用的频谱可以实现颠覆性应用,包括10Gb/s芯片对芯片无线通信和成像/光谱。由于特征尺寸和器件fT/fmax的积极缩放,纳米级CMOS技术有可能在该频率范围内集成复杂的系统。例如,已经报道了CMOS亚太赫兹信号源和trx[1-4],它们采用了分布式有源辐射器(DAR)和超谐波信号发生器等技术。然而,在先前的工作中报道的CMOS亚太赫兹trx缺乏RF放大,导致效率低(因此更高的功耗)和高噪声系数(NF)。本文通过在32nm SOI CMOS工艺(fT/fmax=250/350GHz)中演示具有开关键控(OOK)调制的210GHz TRX,该调制包含2×2 TX天线阵列、2×2空间组合功率放大器(PA)、基频VCO和低噪声放大器(LNA)。
{"title":"A 210GHz fully integrated differential transceiver with fundamental-frequency VCO in 32nm SOI CMOS","authors":"Z. Wang, Pei-Yuan Chiang, Peyman Nazari, Chun-Cheng Wang, Zhiming Chen, P. Heydari","doi":"10.1109/ISSCC.2013.6487670","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487670","url":null,"abstract":"The vastly under-utilized spectrum in the sub-THz frequency range enables disruptive applications including 10Gb/s chip-to-chip wireless communications and imaging/spectroscopy. Owing to aggressive scaling in feature size and device fT/fmax, nanoscale CMOS technology potentially enables integration of sophisticated systems at this frequency range. For example, CMOS sub-THz signal sources and TRXs have been reported [1-4], employing techniques such as distributed active radiator (DAR) and super-harmonic signal generator. The lack of RF amplification in CMOS sub-THz TRXs reported in prior work, however, results in low efficiency (and thus higher power dissipation), and high noise-figure (NF). This paper addresses these issues by demonstrating a 210GHz TRX with on-off-keying (OOK) modulation incorporating a 2×2 TX antenna array, a 2×2 spatial combining power amplifier (PA), a fundamental frequency VCO, and a low noise amplifier (LNA) in a 32nm SOI CMOS process (fT/fmax=250/350GHz).","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85007802","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 47
A quad 25Gb/s 270mW TIA in 0.13µm BiCMOS with <0.15dB crosstalk penalty 在0.13µm BiCMOS中实现四路25Gb/s 270mW TIA,串扰损耗<0.15dB
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487661
G. Kalogerakis, T. Moran, Thelinh Nguyen, Gilles Denoyer
The push for 100Gb/s optical transport and beyond necessitates electronic components at higher speed and integration level in order to drive down cost, complexity and size of transceivers [1-2]. This requires parallel multi-channel optical transceivers each operating at 25Gb/s and beyond. Due to variations in the output power of transmitters and in some cases different optical paths the parallel receivers have to operate at different input optical power levels. This trend places increasing strain to the acceptable inter-channel crosstalk in integrated multi-channel receivers [3]. Minimizing this cross-talk penalty when all channels are operational is becoming increasingly important in ultra-high throughput optical links.
为了降低收发器的成本、复杂性和尺寸,对100Gb/s及以上光传输的推动需要更高速度和集成度的电子元件[1-2]。这需要并行多通道光收发器,每个光收发器的工作速度为25Gb/s或更高。由于发射器输出功率的变化以及在某些情况下不同的光路,并行接收器必须在不同的输入光功率水平下工作。这种趋势增加了集成多通道接收器中可接受的通道间串扰的压力[3]。在超高吞吐量光链路中,当所有信道都运行时,最小化这种串扰损失变得越来越重要。
{"title":"A quad 25Gb/s 270mW TIA in 0.13µm BiCMOS with <0.15dB crosstalk penalty","authors":"G. Kalogerakis, T. Moran, Thelinh Nguyen, Gilles Denoyer","doi":"10.1109/ISSCC.2013.6487661","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487661","url":null,"abstract":"The push for 100Gb/s optical transport and beyond necessitates electronic components at higher speed and integration level in order to drive down cost, complexity and size of transceivers [1-2]. This requires parallel multi-channel optical transceivers each operating at 25Gb/s and beyond. Due to variations in the output power of transmitters and in some cases different optical paths the parallel receivers have to operate at different input optical power levels. This trend places increasing strain to the acceptable inter-channel crosstalk in integrated multi-channel receivers [3]. Minimizing this cross-talk penalty when all channels are operational is becoming increasingly important in ultra-high throughput optical links.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82129424","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 27
An AC-coupled hybrid envelope modulator for HSUPA transmitters with 80% modulator efficiency 用于HSUPA发射机的交流耦合混合包络调制器,调制器效率为80%
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487771
P. Riehl, P. Fowers, Hao-Ping Hong, Michael Ashburn
High-data-rate wireless technologies such as HSUPA and LTE are power-hungry because of the fundamental correlation between data rate and transmit power. Furthermore, the high peak-to-average power ratio (PAPR) of the modulated signals causes a degradation in PA efficiency, since the supply voltage of the PA must be high enough to provide the peak output voltage without loss of linearity. Envelope tracking modulators have been proposed to improve the efficiency and linearity of transmitters. Modulators using multiple input voltages have been shown to improve efficiency, but generating multiple supplies imposes substantial overhead. Several recent works have demonstrated a hybrid modulator, combining the output current of a buck output stage with that of a linear amplifier. The hybrid modulator has the notable advantage that the low-frequency power (which constitutes the majority of power, even in high-PAPR scenarios) can be provided through the efficient buck stage. The inherently less efficient linear amplifier stage needs only to supply the high-frequency power. The efficiency of hybrid modulators degrades at moderate power levels, when the ac amplitude is much less than the supply voltage of the linear amplifier. At low power levels, the power savings afforded by the modulator do not offset its own quiescent current, resulting in a lower efficiency than can be achieved using a fixed-drain, average-power-tracking (FD-APT) supply.
由于数据速率和传输功率之间的基本相关性,HSUPA和LTE等高数据速率无线技术非常耗电。此外,调制信号的高峰值-平均功率比(PAPR)导致放大器效率的下降,因为放大器的供电电压必须足够高,以提供峰值输出电压而不会损失线性度。为了提高发射机的效率和线性度,提出了包络跟踪调制器。使用多个输入电压的调制器已被证明可以提高效率,但产生多个电源会增加大量开销。最近的几项工作展示了一种混合调制器,将降压输出级的输出电流与线性放大器的输出电流相结合。混合调制器具有显著的优势,即低频功率(即使在高papr情况下也占大部分功率)可以通过高效降压级提供。本来效率较低的线性放大级只需要提供高频功率。在中等功率水平下,当交流幅值远小于线性放大器的电源电压时,混合调制器的效率会下降。在低功率水平下,调制器所节省的功率并不能抵消其自身的静态电流,导致其效率低于使用固定漏极、平均功率跟踪(FD-APT)电源所能达到的效率。
{"title":"An AC-coupled hybrid envelope modulator for HSUPA transmitters with 80% modulator efficiency","authors":"P. Riehl, P. Fowers, Hao-Ping Hong, Michael Ashburn","doi":"10.1109/ISSCC.2013.6487771","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487771","url":null,"abstract":"High-data-rate wireless technologies such as HSUPA and LTE are power-hungry because of the fundamental correlation between data rate and transmit power. Furthermore, the high peak-to-average power ratio (PAPR) of the modulated signals causes a degradation in PA efficiency, since the supply voltage of the PA must be high enough to provide the peak output voltage without loss of linearity. Envelope tracking modulators have been proposed to improve the efficiency and linearity of transmitters. Modulators using multiple input voltages have been shown to improve efficiency, but generating multiple supplies imposes substantial overhead. Several recent works have demonstrated a hybrid modulator, combining the output current of a buck output stage with that of a linear amplifier. The hybrid modulator has the notable advantage that the low-frequency power (which constitutes the majority of power, even in high-PAPR scenarios) can be provided through the efficient buck stage. The inherently less efficient linear amplifier stage needs only to supply the high-frequency power. The efficiency of hybrid modulators degrades at moderate power levels, when the ac amplitude is much less than the supply voltage of the linear amplifier. At low power levels, the power savings afforded by the modulator do not offset its own quiescent current, resulting in a lower efficiency than can be achieved using a fixed-drain, average-power-tracking (FD-APT) supply.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84569858","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 33
A phase-noise and spur filtering technique using reciprocal-mixing cancellation 一种利用互混抵消的相位噪声和杂散滤波技术
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487648
M. Mikhemar, D. Murphy, A. Mirzaei, H. Darabi
Recent passive-mixer-based architectures, such as [1], have shown that blockers as large as 0dBm can be tolerated without excessive gain compression. However, even in a perfectly linear receiver, reciprocal mixing of the blocker with LO phase noise deposits additive noise on the wanted signal, as shown in Fig. 5.3.1. This is an inevitable limitation to any mixer-based receiver. Assuming that the blocker experiences no passive RF filtering, the noise figure of such a receiver in the presence of a given blocker is only lowered through improving the LO phase noise. To overcome this challenge, most wireless receivers use LC-oscillators that despite their superior phase noise to ring oscillators [2], still consume a large portion of the radio power. As the quality factor of on-chip resonators does not scale with technology, the phase noise of an LC-oscillator can only be improved by consuming more power, while the benefits of circuit innovation are fundamentally limited [3]. Although increasing current helps, it does come at a cost, and is ultimately limited by the maximum allowable amplitude, and how reliably small inductor values can be fabricated without Q-degradation. In this paper, we propose a mixed-signal reciprocal-mixing cancellation technique that leads to a substantial reciprocal-mixing noise figure improvement independent of the LO phase noise.
最近基于无源混频器的架构,如[1],已经表明,在没有过度增益压缩的情况下,可以容忍大至0dBm的阻滞器。然而,即使在完全线性的接收器中,阻挡剂与本相噪声的互反混合也会在所需信号上沉积附加噪声,如图5.3.1所示。这是任何基于混频器的接收器不可避免的限制。假设阻断器没有经过无源射频滤波,那么在给定阻断器存在的情况下,这样的接收器的噪声系数只能通过改善本相噪声来降低。为了克服这一挑战,大多数无线接收器使用lc振荡器,尽管其相位噪声优于环形振荡器[2],但仍然消耗很大一部分无线电功率。由于片上谐振器的质量因子不随技术的发展而变化,lc振荡器的相位噪声只能通过消耗更多的功率来改善,而电路创新的好处从根本上是有限的[3]。虽然增加电流有所帮助,但它确实是有成本的,并且最终受到最大允许幅度的限制,以及在没有q退化的情况下制造小电感值的可靠性。在本文中,我们提出了一种混合信号互混抵消技术,该技术可以在不受本相噪声影响的情况下显著改善互混噪声系数。
{"title":"A phase-noise and spur filtering technique using reciprocal-mixing cancellation","authors":"M. Mikhemar, D. Murphy, A. Mirzaei, H. Darabi","doi":"10.1109/ISSCC.2013.6487648","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487648","url":null,"abstract":"Recent passive-mixer-based architectures, such as [1], have shown that blockers as large as 0dBm can be tolerated without excessive gain compression. However, even in a perfectly linear receiver, reciprocal mixing of the blocker with LO phase noise deposits additive noise on the wanted signal, as shown in Fig. 5.3.1. This is an inevitable limitation to any mixer-based receiver. Assuming that the blocker experiences no passive RF filtering, the noise figure of such a receiver in the presence of a given blocker is only lowered through improving the LO phase noise. To overcome this challenge, most wireless receivers use LC-oscillators that despite their superior phase noise to ring oscillators [2], still consume a large portion of the radio power. As the quality factor of on-chip resonators does not scale with technology, the phase noise of an LC-oscillator can only be improved by consuming more power, while the benefits of circuit innovation are fundamentally limited [3]. Although increasing current helps, it does come at a cost, and is ultimately limited by the maximum allowable amplitude, and how reliably small inductor values can be fabricated without Q-degradation. In this paper, we propose a mixed-signal reciprocal-mixing cancellation technique that leads to a substantial reciprocal-mixing noise figure improvement independent of the LO phase noise.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81577811","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
A 4b ADC manufactured in a fully-printed organic complementary technology including resistors 采用全印刷有机互补技术制造的4b ADC,包括电阻器
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487657
Sahel Abdinia, M. Benwadih, R. Coppard, S. Jacob, G. Maiellaro, G. Palmisano, M. Rizzo, A. Scuderi, F. Tramontana, A. Roermund, E. Cantatore
Organic transistors (OTFTs) can be printed on thin plastic substrates to obtain mechanically flexible large-area electronics with high throughput. Examples of applications include sensor-augmented RFIDs fabricated on the packaging of retail items and smart surfaces integrating sensors or actuators. Printed OTFTs have been used to design circuits [1-4], however, these implementations have been mainly limited to digital circuits or large-area switch matrices. A major challenge in the design of printed circuits is the relatively high variability in the characteristics of the OTFTs, which is caused by the low degree of spatial correlation typical of printing processes. A relatively high rate of hard faults is also typical in printed electronics (at the state of the art, yield is acceptable only for a circuit complexity of ~100 transistors).
有机晶体管(OTFTs)可以印刷在薄塑料衬底上,以获得高吞吐量的机械柔性大面积电子器件。应用实例包括制造在零售商品包装上的传感器增强rfid和集成传感器或执行器的智能表面。印刷otft已被用于设计电路[1-4],然而,这些实现主要局限于数字电路或大面积开关矩阵。印刷电路设计的一个主要挑战是otft特性的相对高可变性,这是由印刷过程中典型的低程度空间相关引起的。在印刷电子产品中,相对较高的硬故障率也是典型的(在目前的技术水平上,只有在电路复杂性约为100个晶体管的情况下,良率才可以接受)。
{"title":"A 4b ADC manufactured in a fully-printed organic complementary technology including resistors","authors":"Sahel Abdinia, M. Benwadih, R. Coppard, S. Jacob, G. Maiellaro, G. Palmisano, M. Rizzo, A. Scuderi, F. Tramontana, A. Roermund, E. Cantatore","doi":"10.1109/ISSCC.2013.6487657","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487657","url":null,"abstract":"Organic transistors (OTFTs) can be printed on thin plastic substrates to obtain mechanically flexible large-area electronics with high throughput. Examples of applications include sensor-augmented RFIDs fabricated on the packaging of retail items and smart surfaces integrating sensors or actuators. Printed OTFTs have been used to design circuits [1-4], however, these implementations have been mainly limited to digital circuits or large-area switch matrices. A major challenge in the design of printed circuits is the relatively high variability in the characteristics of the OTFTs, which is caused by the low degree of spatial correlation typical of printing processes. A relatively high rate of hard faults is also typical in printed electronics (at the state of the art, yield is acceptable only for a circuit complexity of ~100 transistors).","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87184042","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 49
Continuing to shrink: Next-generation lithography - Progress and prospects 继续缩小:新一代光刻技术-进展与前景
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487620
M. V. D. Brink
Chip makers are increasingly concerned about the shrink and cost. This concern drives different lithography solutions for different products. Two major trends can be observed: aggressive adoption of EUV, or aggressive extension of immersion. Further cost reduction could be achieved by introducing 450mm wafers.
芯片制造商越来越担心芯片的缩水和成本。这种担忧促使不同产品采用不同的光刻解决方案。可以观察到两个主要趋势:积极采用EUV,或积极扩展沉浸感。进一步降低成本可以通过引入450mm晶圆实现。
{"title":"Continuing to shrink: Next-generation lithography - Progress and prospects","authors":"M. V. D. Brink","doi":"10.1109/ISSCC.2013.6487620","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487620","url":null,"abstract":"Chip makers are increasingly concerned about the shrink and cost. This concern drives different lithography solutions for different products. Two major trends can be observed: aggressive adoption of EUV, or aggressive extension of immersion. Further cost reduction could be achieved by introducing 450mm wafers.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77810316","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
期刊
2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1