首页 > 最新文献

2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)最新文献

英文 中文
A High Voltage Multi-Purpose On-the-fly Reconfigurable Half-Bridge Gate Driver for GaN HEMTs in 0.18-μm HV SOI CMOS Technology 基于0.18 μm HV SOI CMOS技术的GaN hemt高电压多用途动态可重构半桥栅极驱动器
Pub Date : 2020-06-01 DOI: 10.1109/newcas49341.2020.9159781
Nam Ly, N. Aimaier, A. Alameh, Y. Blaquière, G. Cowan, N. Constantin
Intended to be the core design of a configurable and flexible high voltage power system for aerospace applications, the gate driver in this work is capable of driving a wide range of GaN devices of different sizes, in half-bridge configuration, with configurable driving strength and dead-time. These features eliminate the need for discrete gate resistors and allow for higher density designs, such as SiP integration. The on-the-fly reconfigurability enables local efficiency optimization and EMI reduction, which is essential in safety-critical applications. The proposed IC was fabricated using XFAB's 0.18 $mu mathrm{m}$ HV SOI CMOS process (xt018). Measurement results show that the chip can drive targeted GaN HEMTs from smallest to largest size at the desired turn-on and turn-off speeds, as fast as 1.46/1.18 ns of rise/fall-time. The measured dead-time is from 4.5 ns to 58 ns with an input voltage up to 86 V. The parameters can be reconfigured on-the-fly at a pulse width modulation frequency up to 20 MHz.
本工作中的栅极驱动器旨在成为航空航天应用中可配置和灵活的高压电源系统的核心设计,能够以半桥结构驱动各种不同尺寸的GaN器件,具有可配置的驱动强度和死区时间。这些特性消除了对分立栅极电阻的需求,并允许更高密度的设计,例如SiP集成。动态可重构性可实现局部效率优化和EMI降低,这在安全关键应用中至关重要。该集成电路采用XFAB的0.18 $mu mathm {m}$ HV SOI CMOS工艺(xt018)制造。测量结果表明,该芯片能够以所需的通断速度驱动目标GaN hemt从最小尺寸到最大尺寸,上升/下降时间高达1.46/1.18 ns。在输入电压高达86 V的情况下,测量到的死区时间为4.5 ~ 58ns。这些参数可以在高达20mhz的脉宽调制频率下实时重新配置。
{"title":"A High Voltage Multi-Purpose On-the-fly Reconfigurable Half-Bridge Gate Driver for GaN HEMTs in 0.18-μm HV SOI CMOS Technology","authors":"Nam Ly, N. Aimaier, A. Alameh, Y. Blaquière, G. Cowan, N. Constantin","doi":"10.1109/newcas49341.2020.9159781","DOIUrl":"https://doi.org/10.1109/newcas49341.2020.9159781","url":null,"abstract":"Intended to be the core design of a configurable and flexible high voltage power system for aerospace applications, the gate driver in this work is capable of driving a wide range of GaN devices of different sizes, in half-bridge configuration, with configurable driving strength and dead-time. These features eliminate the need for discrete gate resistors and allow for higher density designs, such as SiP integration. The on-the-fly reconfigurability enables local efficiency optimization and EMI reduction, which is essential in safety-critical applications. The proposed IC was fabricated using XFAB's 0.18 $mu mathrm{m}$ HV SOI CMOS process (xt018). Measurement results show that the chip can drive targeted GaN HEMTs from smallest to largest size at the desired turn-on and turn-off speeds, as fast as 1.46/1.18 ns of rise/fall-time. The measured dead-time is from 4.5 ns to 58 ns with an input voltage up to 86 V. The parameters can be reconfigured on-the-fly at a pulse width modulation frequency up to 20 MHz.","PeriodicalId":135163,"journal":{"name":"2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122333163","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Static linearity BIST for $V_{cm}$-based switching SAR ADCs using a reduced-code measurement technique 基于V_{cm}$的开关SAR adc的静态线性BIST,采用减码测量技术
Pub Date : 2020-06-01 DOI: 10.1109/newcas49341.2020.9159839
R. Feitoza, M. Barragán, A. Ginés, S. Mir
This work presents a reduced-code strategy for the static linearity self-testing of $V_{cm}$ -based successive-approximation analog to digital converters (SAR ADCs). These techniques take advantage of the repetitive operation of SAR ADCs for reducing the number of necessary measurements for static linearity testing. In this paper we discuss the application of these techniques for the $V_{cm}$ -based SAR ADC topology and present a practical BIST implementation based on an embedded incremental ADC. Electrical simulation results at transistor level are presented to validate the feasibility of the proposed on-chip reduced-code static linearity test.
本文提出了一种用于基于V_{cm}$的逐次逼近模数转换器(SAR adc)静态线性自测试的减码策略。这些技术利用SAR adc的重复操作来减少静态线性测试所需的测量次数。在本文中,我们讨论了这些技术在基于$V_{cm}$的SAR ADC拓扑中的应用,并提出了一个基于嵌入式增量ADC的实际BIST实现。给出了晶体管级的电学仿真结果,验证了所提出的片上简化代码静态线性度测试的可行性。
{"title":"Static linearity BIST for $V_{cm}$-based switching SAR ADCs using a reduced-code measurement technique","authors":"R. Feitoza, M. Barragán, A. Ginés, S. Mir","doi":"10.1109/newcas49341.2020.9159839","DOIUrl":"https://doi.org/10.1109/newcas49341.2020.9159839","url":null,"abstract":"This work presents a reduced-code strategy for the static linearity self-testing of $V_{cm}$ -based successive-approximation analog to digital converters (SAR ADCs). These techniques take advantage of the repetitive operation of SAR ADCs for reducing the number of necessary measurements for static linearity testing. In this paper we discuss the application of these techniques for the $V_{cm}$ -based SAR ADC topology and present a practical BIST implementation based on an embedded incremental ADC. Electrical simulation results at transistor level are presented to validate the feasibility of the proposed on-chip reduced-code static linearity test.","PeriodicalId":135163,"journal":{"name":"2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122195826","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Broadband Operation of Class-E Power Amplifier with Shunt Filter 带并联滤波器的e类功率放大器宽带运算
Pub Date : 2020-06-01 DOI: 10.1109/NEWCAS49341.2020.9159806
P. Afanasyev, A. Grebennikov, R. Farrell, J. Dooley
This work proposes a new approach for designing broadband class-E power amplifier (PA) with shunt filter. The approach is based on the double reactance compensation technique. Using this technique reactance variation of loaded Q-factor of a shunt filter and parameters of L-shaped matching circuit are adjusted to minimize variation of load impedance at the device drain across frequency range. Based on this concept, a 10W output power class-E PA was designed, optimized in circuit simulator Keysight ADS and fabricated using GaN HEMT transistor. The manufactured PA has compact output circuit and provides drain efficiency over 65% across frequency range 1.7 - 2.8 GHz and over 60% drain efficiency across frequency range 1.4 - 2.8 Hz. The measured output power variation is 2 dB.
本文提出了一种带并联滤波器的宽带e类功率放大器的设计方法。该方法基于双电抗补偿技术。通过调整并联滤波器负载q因子的电抗变化和l型匹配电路的参数,使器件漏极处的负载阻抗在整个频率范围内的变化最小。基于这一概念,设计了一个输出功率为10W的e级PA,并在Keysight ADS电路模拟器中进行了优化,采用GaN HEMT晶体管制作。制造的PA具有紧凑的输出电路,在1.7 - 2.8 GHz频率范围内提供超过65%的漏极效率,在1.4 - 2.8 Hz频率范围内提供超过60%的漏极效率。测量的输出功率变化为2db。
{"title":"Broadband Operation of Class-E Power Amplifier with Shunt Filter","authors":"P. Afanasyev, A. Grebennikov, R. Farrell, J. Dooley","doi":"10.1109/NEWCAS49341.2020.9159806","DOIUrl":"https://doi.org/10.1109/NEWCAS49341.2020.9159806","url":null,"abstract":"This work proposes a new approach for designing broadband class-E power amplifier (PA) with shunt filter. The approach is based on the double reactance compensation technique. Using this technique reactance variation of loaded Q-factor of a shunt filter and parameters of L-shaped matching circuit are adjusted to minimize variation of load impedance at the device drain across frequency range. Based on this concept, a 10W output power class-E PA was designed, optimized in circuit simulator Keysight ADS and fabricated using GaN HEMT transistor. The manufactured PA has compact output circuit and provides drain efficiency over 65% across frequency range 1.7 - 2.8 GHz and over 60% drain efficiency across frequency range 1.4 - 2.8 Hz. The measured output power variation is 2 dB.","PeriodicalId":135163,"journal":{"name":"2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122227916","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Fully Integrated Dual-Channel Gate Driver and Area Efficient PID Compensator for Surge Tolerant Power Sensor Interface 全集成双通道栅极驱动器和面积高效PID补偿器的耐浪涌功率传感器接口
Pub Date : 2020-06-01 DOI: 10.1109/newcas49341.2020.9159789
Mostafa Amer, Mohamed Ali, Ahmed Abuelnasr, Ahmad Hassan, Morteza Nabavi, Y. Savaria, M. Sawan
This paper presents a power sensor interface (PSI) driving a wide range of load valves with coil resistance ranging from 5 $Omega$ to 62.5 $Omega$. It can sustain voltage surges up to 115 V. An integrated high-voltage (HV) dual-channel gate driver with 8.7 ns deadtime (DT) is implemented to efficiently drive e-GaN FETs in a synchronous DC-DC buck converter. In addition, an area-optimization technique of on-chip passive components is proposed to enable full-integration of voltage-mode controller with 87% reduction in area. The achieved peak efficiency is 98.7% @ 4.67 A load. The feedback bandwidth is ~100 kHz to maintain fast transient response at 1MHz switching frequency. The settling time is < 70 $mumathrm{s}$ and < 100 $mumathrm{s}$ at load changes of −5.2 A and 5.2 A respectively. Overshoot (OS) and undershoot (US) voltages are within 2% of nominal VOUT. The layout of the gate driver and feedback control is implemented in $0.35-mumathrm{m}$ HV CMOS process with active die area of 0.75 mm2.
本文提出了一种功率传感器接口(PSI)驱动各种负载阀,线圈电阻范围从5 $Omega$到62.5 $Omega$。它可以承受高达115 V的电压浪涌。为了有效驱动同步DC-DC降压变换器中的e-GaN场效应管,设计了一种具有8.7 ns死区时间(DT)的集成高压双通道栅极驱动器。此外,还提出了一种片上无源元件面积优化技术,以实现电压型控制器与87的完全集成% reduction in area. The achieved peak efficiency is 98.7% @ 4.67 A load. The feedback bandwidth is ~100 kHz to maintain fast transient response at 1MHz switching frequency. The settling time is < 70 $mumathrm{s}$ and < 100 $mumathrm{s}$ at load changes of −5.2 A and 5.2 A respectively. Overshoot (OS) and undershoot (US) voltages are within 2% of nominal VOUT. The layout of the gate driver and feedback control is implemented in $0.35-mumathrm{m}$ HV CMOS process with active die area of 0.75 mm2.
{"title":"Fully Integrated Dual-Channel Gate Driver and Area Efficient PID Compensator for Surge Tolerant Power Sensor Interface","authors":"Mostafa Amer, Mohamed Ali, Ahmed Abuelnasr, Ahmad Hassan, Morteza Nabavi, Y. Savaria, M. Sawan","doi":"10.1109/newcas49341.2020.9159789","DOIUrl":"https://doi.org/10.1109/newcas49341.2020.9159789","url":null,"abstract":"This paper presents a power sensor interface (PSI) driving a wide range of load valves with coil resistance ranging from 5 $Omega$ to 62.5 $Omega$. It can sustain voltage surges up to 115 V. An integrated high-voltage (HV) dual-channel gate driver with 8.7 ns deadtime (DT) is implemented to efficiently drive e-GaN FETs in a synchronous DC-DC buck converter. In addition, an area-optimization technique of on-chip passive components is proposed to enable full-integration of voltage-mode controller with 87% reduction in area. The achieved peak efficiency is 98.7% @ 4.67 A load. The feedback bandwidth is ~100 kHz to maintain fast transient response at 1MHz switching frequency. The settling time is < 70 $mumathrm{s}$ and < 100 $mumathrm{s}$ at load changes of −5.2 A and 5.2 A respectively. Overshoot (OS) and undershoot (US) voltages are within 2% of nominal VOUT. The layout of the gate driver and feedback control is implemented in $0.35-mumathrm{m}$ HV CMOS process with active die area of 0.75 mm2.","PeriodicalId":135163,"journal":{"name":"2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124002139","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Broadside FD Antenna Topologies for Nanosat Intersatellite Link 纳米卫星卫星间链路的宽带FD天线拓扑
Pub Date : 2020-06-01 DOI: 10.1109/newcas49341.2020.9159778
A. Pen, M. Roy, R. Lababidi, D. L. Jeune, A. Pérennec, J. Issler, K. Elis, A. Gay, J.-H. Corre
This paper presents and compares four different antenna topologies dedicated to Full-Duplex (FD) applications. The proposed architectures are able to operate simultaneously for transmitting TX and receiving RX radiating elements along broadside direction, contrarily to most of state of the art FD RF front-ends that also rely on destructive wave's concept to get Self-Interference Cancellation (SIC). The four prototypes make use of three SIC stages and the common first level is obtained using orthogonal linear polarization between TX and RX. Step-by-step improvements are introduced from one to another topology in order to increase the SIC level and to reduce both side lobe levels and antenna size. Simulated and experimental results are provided, compared and discussed at the center frequency of 2.4 GHz as a proof of concept. The isolation obtained between TX and RX paths is higher than 80 dB in simulation and 60 dB in measurement. These results pave the way to an implementation of a high gain Full-Duplex Ka-band antenna for Nanosat Intersatellite Link
本文介绍并比较了四种不同的全双工(FD)应用天线拓扑结构。所提出的架构能够同时工作,沿宽方向发射TX和接收RX辐射元件,这与大多数最先进的FD RF前端相反,这些前端也依赖于破坏性波的概念来获得自干扰抵消(SIC)。这四个原型采用了三个SIC级,通过TX和RX之间的正交线性偏振得到了共同的一级。为了提高SIC电平并减小旁瓣电平和天线尺寸,从一个拓扑逐步改进到另一个拓扑。给出了在2.4 GHz中心频率下的仿真和实验结果,并进行了比较和讨论,作为概念验证。仿真得到的TX和RX路径之间的隔离度高于80 dB,测量结果高于60 dB。这些结果为实现用于纳米卫星间链路的高增益全双工ka波段天线铺平了道路
{"title":"Broadside FD Antenna Topologies for Nanosat Intersatellite Link","authors":"A. Pen, M. Roy, R. Lababidi, D. L. Jeune, A. Pérennec, J. Issler, K. Elis, A. Gay, J.-H. Corre","doi":"10.1109/newcas49341.2020.9159778","DOIUrl":"https://doi.org/10.1109/newcas49341.2020.9159778","url":null,"abstract":"This paper presents and compares four different antenna topologies dedicated to Full-Duplex (FD) applications. The proposed architectures are able to operate simultaneously for transmitting TX and receiving RX radiating elements along broadside direction, contrarily to most of state of the art FD RF front-ends that also rely on destructive wave's concept to get Self-Interference Cancellation (SIC). The four prototypes make use of three SIC stages and the common first level is obtained using orthogonal linear polarization between TX and RX. Step-by-step improvements are introduced from one to another topology in order to increase the SIC level and to reduce both side lobe levels and antenna size. Simulated and experimental results are provided, compared and discussed at the center frequency of 2.4 GHz as a proof of concept. The isolation obtained between TX and RX paths is higher than 80 dB in simulation and 60 dB in measurement. These results pave the way to an implementation of a high gain Full-Duplex Ka-band antenna for Nanosat Intersatellite Link","PeriodicalId":135163,"journal":{"name":"2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132543778","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Time-Resolved fluorescence measurement system for real-time high-throughput microfluidic droplet sorting 实时高通量微流控液滴分选的时间分辨荧光测量系统
Pub Date : 2020-06-01 DOI: 10.1109/newcas49341.2020.9159813
W. Khaddour, W. Uhring, F. Dadouche, V. Frick, M. Madec
This work presents a Fluorescence Life-Time (FLT) measurement system for real-time microfluidic droplet sorting in high throughput conditions. This system is implemented using a low cost System-on-Chip (SoC) Field-Programmable Gate Array (FPGA) platform, that combines a Cyclone V FPGA with a dual-core ARM Cortex-a9 Hard Processor System (HPS). A time-correlated single photon counting system is implemented in the FPGA part and the data are transferred to the SDRAM of the HPS part to be processed by a developed bare-metal C program to extract the FLT of each droplet passing through the detection spot. According to the droplet's measured FLT, an action could be taken to sort this droplet. The system automatically detects the droplets and extracts their FLT values at different simulated droplet flow rates; from a few droplets up to 1 thousand droplets per second. Thanks to the use of a maximum Likelihood-based algorithm, the standard deviation of the measured FLTs of simulated droplets of the same material is only 30% above the theoretical quantum photon shot noise limit.
本工作提出了一种在高通量条件下实时微流控液滴分选的荧光寿命(FLT)测量系统。该系统采用低成本的片上系统(SoC)现场可编程门阵列(FPGA)平台实现,该平台结合了Cyclone V FPGA和双核ARM Cortex-a9硬处理器系统(HPS)。FPGA部分实现了时间相关单光子计数系统,将数据传输到HPS部分的SDRAM中,由开发的裸机C程序进行处理,提取通过检测点的每个液滴的FLT。根据测量到的液滴的FLT,可以采取措施对液滴进行分类。系统自动检测液滴并提取不同模拟液滴流速下的FLT值;从几滴到每秒1000滴。由于使用了基于极大似然的算法,相同材料的模拟液滴的测量FLTs的标准偏差仅比理论量子光子散粒噪声极限高30%。
{"title":"Time-Resolved fluorescence measurement system for real-time high-throughput microfluidic droplet sorting","authors":"W. Khaddour, W. Uhring, F. Dadouche, V. Frick, M. Madec","doi":"10.1109/newcas49341.2020.9159813","DOIUrl":"https://doi.org/10.1109/newcas49341.2020.9159813","url":null,"abstract":"This work presents a Fluorescence Life-Time (FLT) measurement system for real-time microfluidic droplet sorting in high throughput conditions. This system is implemented using a low cost System-on-Chip (SoC) Field-Programmable Gate Array (FPGA) platform, that combines a Cyclone V FPGA with a dual-core ARM Cortex-a9 Hard Processor System (HPS). A time-correlated single photon counting system is implemented in the FPGA part and the data are transferred to the SDRAM of the HPS part to be processed by a developed bare-metal C program to extract the FLT of each droplet passing through the detection spot. According to the droplet's measured FLT, an action could be taken to sort this droplet. The system automatically detects the droplets and extracts their FLT values at different simulated droplet flow rates; from a few droplets up to 1 thousand droplets per second. Thanks to the use of a maximum Likelihood-based algorithm, the standard deviation of the measured FLTs of simulated droplets of the same material is only 30% above the theoretical quantum photon shot noise limit.","PeriodicalId":135163,"journal":{"name":"2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131893872","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Heterogeneous Distributed SRAM Configuration for Energy-Efficient Deep CNN Accelerators 节能深度CNN加速器的异构分布式SRAM配置
Pub Date : 2020-06-01 DOI: 10.1109/newcas49341.2020.9159814
Mehdi Ahmadi, S. Vakili, J. Langlois
Convolutional Neural Networks (CNNs) are often the first choice for visual recognition systems due to their high, even superhuman, recognition accuracy. The memory configuration of CNN accelerators highly impacts their area and energy efficiency, and employing on-chip memories such as SRAMs is unavoidable. SRAMs can reduce the number of energy-hungry DRAM accesses by storing a large amount of data locally. In this paper, we propose a new on-chip memory configuration, for a certain class of CNN accelerators that divides the memories into two groups. The first group consists of shallow but wide SRAMs into which parallel computational units accumulate intermediate results. The second group includes narrow but deep SRAMs shared between adjacent computational units to store then transfer final results to the external DRAM without interrupting the computation process. Implementation results show that the proposed configuration reduces the area by 21 % and improves the energy efficiency by 18% compared to designs which use an ordinary ping-pong structure for SRAM-DRAM data transfer.
卷积神经网络(cnn)通常是视觉识别系统的首选,因为它具有很高的,甚至是超人的识别精度。CNN加速器的内存配置对其面积和能量效率影响很大,因此采用sram等片上存储器是不可避免的。sram可以通过在本地存储大量数据来减少耗能的DRAM访问次数。在本文中,我们提出了一种新的片上存储器配置,用于将某一类CNN加速器的存储器分为两组。第一组由浅而宽的ram组成,并行计算单元在其中积累中间结果。第二组包括在相邻计算单元之间共享的窄而深的ram,用于存储然后在不中断计算过程的情况下将最终结果传输到外部DRAM。实施结果表明,与使用普通乒乓结构进行SRAM-DRAM数据传输的设计相比,所提出的配置减少了21%的面积,提高了18%的能源效率。
{"title":"Heterogeneous Distributed SRAM Configuration for Energy-Efficient Deep CNN Accelerators","authors":"Mehdi Ahmadi, S. Vakili, J. Langlois","doi":"10.1109/newcas49341.2020.9159814","DOIUrl":"https://doi.org/10.1109/newcas49341.2020.9159814","url":null,"abstract":"Convolutional Neural Networks (CNNs) are often the first choice for visual recognition systems due to their high, even superhuman, recognition accuracy. The memory configuration of CNN accelerators highly impacts their area and energy efficiency, and employing on-chip memories such as SRAMs is unavoidable. SRAMs can reduce the number of energy-hungry DRAM accesses by storing a large amount of data locally. In this paper, we propose a new on-chip memory configuration, for a certain class of CNN accelerators that divides the memories into two groups. The first group consists of shallow but wide SRAMs into which parallel computational units accumulate intermediate results. The second group includes narrow but deep SRAMs shared between adjacent computational units to store then transfer final results to the external DRAM without interrupting the computation process. Implementation results show that the proposed configuration reduces the area by 21 % and improves the energy efficiency by 18% compared to designs which use an ordinary ping-pong structure for SRAM-DRAM data transfer.","PeriodicalId":135163,"journal":{"name":"2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115923802","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Fast GaN μLED Pixel for Visible Light Communication Matrix Emitter 用于可见光通信矩阵发射极的快速GaN μLED像素
Pub Date : 2020-06-01 DOI: 10.1109/NEWCAS49341.2020.9159804
Yoann Seauve, M. Vigier, Thomas Pilloix, G. Sicard
Galium nitride (GaN) based Light-emitting diodes (LEDs) are known for their high brightness and high-speed commutation capability. Not surprisingly, GaN μLEDs are often used in LED based visible light communication (VLC) systems, due to the large modulation bandwidth they can offer. Although VLC emitters are commonly built with a single LED, using a matrix of μLED as the light source can have some advantages as higher linearity. In this paper, the design of a fast GaN μLED pixel driver dedicated to matrix emitters is discussed. This pixel driver can reach a 333 Mhz frame rate with its maximum bias current of 384 $mumathrm{A}$.
基于氮化镓(GaN)的发光二极管(led)以其高亮度和高速换流能力而闻名。毫不奇怪,GaN μLED通常用于基于LED的可见光通信(VLC)系统,因为它们可以提供大的调制带宽。虽然VLC发射器通常由单个LED构成,但使用μLED矩阵作为光源可以具有更高的线性度等优点。本文讨论了一种用于矩阵发射体的快速GaN μLED像素驱动器的设计。该像素驱动可以达到333mhz帧速率,其最大偏置电流为384 $mu mathm {a}$。
{"title":"Fast GaN μLED Pixel for Visible Light Communication Matrix Emitter","authors":"Yoann Seauve, M. Vigier, Thomas Pilloix, G. Sicard","doi":"10.1109/NEWCAS49341.2020.9159804","DOIUrl":"https://doi.org/10.1109/NEWCAS49341.2020.9159804","url":null,"abstract":"Galium nitride (GaN) based Light-emitting diodes (LEDs) are known for their high brightness and high-speed commutation capability. Not surprisingly, GaN μLEDs are often used in LED based visible light communication (VLC) systems, due to the large modulation bandwidth they can offer. Although VLC emitters are commonly built with a single LED, using a matrix of μLED as the light source can have some advantages as higher linearity. In this paper, the design of a fast GaN μLED pixel driver dedicated to matrix emitters is discussed. This pixel driver can reach a 333 Mhz frame rate with its maximum bias current of 384 $mumathrm{A}$.","PeriodicalId":135163,"journal":{"name":"2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122836024","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A Hybrid 4th-Order 4-Bit Continuous-Time ΔΣ Modulator in 65-nm CMOS Technology 65纳米CMOS技术中一种混合4阶4位连续时间ΔΣ调制器
Pub Date : 2020-06-01 DOI: 10.1109/newcas49341.2020.9159836
N. Gaoding, Jean-François Bousquet
This paper reports a fourth-order continuous-time (CT) delta-sigma modulator (DSM) that features a single biquad integrator, a passive integrator and an active integrator. A benefit is the low power consumption using only two opamps in comparison to 4 power-hungry opamps in the conventional fourth-order DSM. The proposed CT-DSM employs two Miller compensation opamps to satisfy the gain bandwidth (GBW) requirement and the loop gain requirement. In this design, the GBW is only 1.65 times higher than the sampling frequency and the open loop DC gain is much higher than the oversampling rate. A 4-bit flash analog-to-digital converter (ADC) and two feedback digital-to-analog converters (DACs) are employed in this design to complete the CT DSM including the feedback paths. The effective number of bits of the proposed CT-DSM is 14 bits with a peak SNR of 90.5 dB. The proposed design has a maximum bandwidth of 2 MHz with a power consumption less than 3 mW. Thus, it achieves an excellent figure of merit around 175 dB compared to existing state-of-the-art.
本文报道了一种四阶连续时间(CT) δ - σ调制器(DSM),它具有一个双积分器、一个无源积分器和一个有源积分器。与传统的四阶DSM中的4个功耗放大器相比,其优点是只需使用2个功耗放大器即可实现低功耗。本文提出的CT-DSM采用两个米勒补偿放大器来满足增益带宽(GBW)和环路增益要求。在本设计中,GBW仅比采样频率高1.65倍,开环直流增益远高于过采样率。本设计采用一个4位闪存模数转换器(ADC)和两个反馈数模转换器(dac)来完成包括反馈路径在内的CT DSM。所提出的CT-DSM有效比特数为14比特,峰值信噪比为90.5 dB。该设计的最大带宽为2mhz,功耗小于3mw。因此,与现有的先进技术相比,它达到了175 dB左右的优异性能。
{"title":"A Hybrid 4th-Order 4-Bit Continuous-Time ΔΣ Modulator in 65-nm CMOS Technology","authors":"N. Gaoding, Jean-François Bousquet","doi":"10.1109/newcas49341.2020.9159836","DOIUrl":"https://doi.org/10.1109/newcas49341.2020.9159836","url":null,"abstract":"This paper reports a fourth-order continuous-time (CT) delta-sigma modulator (DSM) that features a single biquad integrator, a passive integrator and an active integrator. A benefit is the low power consumption using only two opamps in comparison to 4 power-hungry opamps in the conventional fourth-order DSM. The proposed CT-DSM employs two Miller compensation opamps to satisfy the gain bandwidth (GBW) requirement and the loop gain requirement. In this design, the GBW is only 1.65 times higher than the sampling frequency and the open loop DC gain is much higher than the oversampling rate. A 4-bit flash analog-to-digital converter (ADC) and two feedback digital-to-analog converters (DACs) are employed in this design to complete the CT DSM including the feedback paths. The effective number of bits of the proposed CT-DSM is 14 bits with a peak SNR of 90.5 dB. The proposed design has a maximum bandwidth of 2 MHz with a power consumption less than 3 mW. Thus, it achieves an excellent figure of merit around 175 dB compared to existing state-of-the-art.","PeriodicalId":135163,"journal":{"name":"2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"120845435","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A 34-mV Startup Ring Oscillator Using Stacked Body Bias Inverters for Extremely Low-Voltage Thermoelectric Energy Harvesting 采用堆叠体偏置逆变器的34 mv启动环振荡器,用于极低压热电能量收集
Pub Date : 2020-06-01 DOI: 10.1109/newcas49341.2020.9159838
Masaya Nishi, Kaori Matsumoto, N. Kuroki, M. Numa, Hikaru Sebe, R. Matsuzuka, O. Maida, D. Kanemoto, T. Hirose
A ring oscillator (ROSC) for extremely low-voltage thermoelectric energy generators is presented. The ROSC is composed of dedicated low-voltage stacked body bias inverters (SBBIs) that are based on the conventional self-bias inverter (SBI) and stacked inverter (SI). The proposed SBBI employs the advantages of both SBI and SI to oscillate at extremely low supply voltage $(V_{mathrm{D}mathrm{D}})$. The voltage gain $vert A_{mathrm{I}mathrm{N}mathrm{V}}vert$ of our proposed SBBI is improved and enhanced by controlling main inverter's supply $(V_{mathrm{D}mathrm{D}}$ and Gnd) and body-bias voltages, by using stacked and feedback inverters. Simulated results using a standard 0.18 $mu mathrm{m}$ CMOS process with deep N-well option showed that our proposed ROSC could oscillate at extremely low $V_{mathrm{D}mathrm{D}}$ of 34 mV and generate a clock pulse with a 88% voltage swing from an input $V_{mathrm{D}mathrm{D}}$ of 50 mV.
介绍了一种用于极低压热电发电机的环形振荡器(ROSC)。ROSC是在传统自偏置逆变器(SBI)和堆叠逆变器(SI)的基础上,由专用的低压堆叠体偏置逆变器(SBBIs)组成。所提出的SBBI利用SBI和SI的优点,在极低的电源电压$(V_{ mathm {D} mathm {D}})$下振荡。本文提出的SBBI的电压增益$vert A_{ mathm {I} mathm {N} mathm {V}}vert$通过使用堆叠式和反馈式逆变器控制主逆变器的电源$(V_{ mathm {D}}$和Gnd)和体偏置电压来改进和增强。采用具有深n阱选项的标准0.18 $mu mathm {m}$ CMOS工艺的仿真结果表明,我们提出的ROSC可以在34 mV的极低$V_{ mathm {D}}$下振荡,并在输入$V_{ mathm {D}}$ 50 mV时产生具有88%电压摆幅的时钟脉冲。
{"title":"A 34-mV Startup Ring Oscillator Using Stacked Body Bias Inverters for Extremely Low-Voltage Thermoelectric Energy Harvesting","authors":"Masaya Nishi, Kaori Matsumoto, N. Kuroki, M. Numa, Hikaru Sebe, R. Matsuzuka, O. Maida, D. Kanemoto, T. Hirose","doi":"10.1109/newcas49341.2020.9159838","DOIUrl":"https://doi.org/10.1109/newcas49341.2020.9159838","url":null,"abstract":"A ring oscillator (ROSC) for extremely low-voltage thermoelectric energy generators is presented. The ROSC is composed of dedicated low-voltage stacked body bias inverters (SBBIs) that are based on the conventional self-bias inverter (SBI) and stacked inverter (SI). The proposed SBBI employs the advantages of both SBI and SI to oscillate at extremely low supply voltage $(V_{mathrm{D}mathrm{D}})$. The voltage gain $vert A_{mathrm{I}mathrm{N}mathrm{V}}vert$ of our proposed SBBI is improved and enhanced by controlling main inverter's supply $(V_{mathrm{D}mathrm{D}}$ and Gnd) and body-bias voltages, by using stacked and feedback inverters. Simulated results using a standard 0.18 $mu mathrm{m}$ CMOS process with deep N-well option showed that our proposed ROSC could oscillate at extremely low $V_{mathrm{D}mathrm{D}}$ of 34 mV and generate a clock pulse with a 88% voltage swing from an input $V_{mathrm{D}mathrm{D}}$ of 50 mV.","PeriodicalId":135163,"journal":{"name":"2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125844955","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
期刊
2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1