首页 > 最新文献

2008 IEEE Asian Solid-State Circuits Conference最新文献

英文 中文
A 300 MHz embedded flash memory with pipeline architecture and offset-free sense amplifiers for dual-core automotive microcontrollers 300 MHz嵌入式闪存,流水线架构和无偏移感测放大器,用于双核汽车微控制器
Pub Date : 2008-12-12 DOI: 10.1109/ASSCC.2008.4708777
Shinya Kajiyama, M. Fujito, Hideo Kasai, M. Mizuno, T. Yamaguchi, Y. Shinagawa
We propose a novel 300 MHz embedded flash memory for dual-core microcontrollers targeting shared ROM architecture. One of the features is a three-stage pipeline read operation, which enables reduced access pitch and therefore reduced performance penalty due to shared ROM access conflict. The second feature is a highly sensitive sense amplifier that achieves efficient pipeline operation with two-cycle latency one-cycle pitch because of a shortened sense time of 0.63 ns. The combination of the pipeline architecture and the proposed sense amplifiers achieves significant reduction in access-conflict penalties with shared ROM and enhanced performance of 32-bit RISC dual-core microcontrollers by 30%.
我们提出了一种新的300 MHz嵌入式闪存双核微控制器针对共享ROM架构。其中一个特点是三阶段的管道读取操作,这可以减少访问间距,从而减少由于共享ROM访问冲突而造成的性能损失。第二个特点是高灵敏度的感测放大器,由于感测时间缩短至0.63 ns,因此实现了两周延迟一周间距的高效管道操作。管道架构和所提出的感测放大器的结合显著减少了共享ROM的访问冲突惩罚,并将32位RISC双核微控制器的性能提高了30%。
{"title":"A 300 MHz embedded flash memory with pipeline architecture and offset-free sense amplifiers for dual-core automotive microcontrollers","authors":"Shinya Kajiyama, M. Fujito, Hideo Kasai, M. Mizuno, T. Yamaguchi, Y. Shinagawa","doi":"10.1109/ASSCC.2008.4708777","DOIUrl":"https://doi.org/10.1109/ASSCC.2008.4708777","url":null,"abstract":"We propose a novel 300 MHz embedded flash memory for dual-core microcontrollers targeting shared ROM architecture. One of the features is a three-stage pipeline read operation, which enables reduced access pitch and therefore reduced performance penalty due to shared ROM access conflict. The second feature is a highly sensitive sense amplifier that achieves efficient pipeline operation with two-cycle latency one-cycle pitch because of a shortened sense time of 0.63 ns. The combination of the pipeline architecture and the proposed sense amplifiers achieves significant reduction in access-conflict penalties with shared ROM and enhanced performance of 32-bit RISC dual-core microcontrollers by 30%.","PeriodicalId":143173,"journal":{"name":"2008 IEEE Asian Solid-State Circuits Conference","volume":"9 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-12-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132619066","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
A 60GHz variable-gain LNA in 65nm CMOS 65nm CMOS中的60GHz可变增益LNA
Pub Date : 2008-12-12 DOI: 10.1109/ASSCC.2008.4708743
A. Natarajan, S. Nicolson, Ming-Da Tsai, B. Floyd
A four-stage 60 GHz low-noise amplifier is implemented in 65 nm CMOS with nMOS ft of 210 GHz. The LNA incorporates a reflection-type attenuator to provide variable gain with improved linearity in low-gain mode and a tunable notch filter for image rejection. The LNA, which consists of two common-source stages followed by two cascode stages, consumes 30.8 mW and achieves 5.9 dB NF and 15 dB gain at 60 GHz. The variable attenuator provides 10 dB of gain variation with the input-referred 1 dB compression point of the LNA being -15.1 dBm in high gain mode and -6 dBm in the low-gain mode. Each tunable notch filter stage provides an additional 8 dB attenuation of 37 GHz image signals, with the four-stage LNA achieving more than 35 dB image-rejection.
在65nm CMOS中实现了一个四级60ghz低噪声放大器,nMOS ft为210 GHz。LNA集成了一个反射型衰减器,在低增益模式下提供可变增益和改进的线性度,以及一个可调陷波滤波器用于图像抑制。LNA由两个共源级和两个级联码级组成,功耗30.8 mW,在60 GHz时可实现5.9 dB的NF和15 dB的增益。可变衰减器提供10db的增益变化,LNA的输入参考1db压缩点在高增益模式下为-15.1 dBm,在低增益模式下为-6 dBm。每个可调陷波滤波器级可对37 GHz图像信号提供额外的8 dB衰减,四级LNA可实现35 dB以上的图像抑制。
{"title":"A 60GHz variable-gain LNA in 65nm CMOS","authors":"A. Natarajan, S. Nicolson, Ming-Da Tsai, B. Floyd","doi":"10.1109/ASSCC.2008.4708743","DOIUrl":"https://doi.org/10.1109/ASSCC.2008.4708743","url":null,"abstract":"A four-stage 60 GHz low-noise amplifier is implemented in 65 nm CMOS with nMOS ft of 210 GHz. The LNA incorporates a reflection-type attenuator to provide variable gain with improved linearity in low-gain mode and a tunable notch filter for image rejection. The LNA, which consists of two common-source stages followed by two cascode stages, consumes 30.8 mW and achieves 5.9 dB NF and 15 dB gain at 60 GHz. The variable attenuator provides 10 dB of gain variation with the input-referred 1 dB compression point of the LNA being -15.1 dBm in high gain mode and -6 dBm in the low-gain mode. Each tunable notch filter stage provides an additional 8 dB attenuation of 37 GHz image signals, with the four-stage LNA achieving more than 35 dB image-rejection.","PeriodicalId":143173,"journal":{"name":"2008 IEEE Asian Solid-State Circuits Conference","volume":"167 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-12-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131777084","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 31
A 76.8 GB/s 46 mW low-latency network-on-chip for real-time object recognition processor 76.8 GB/s 46 mW低延迟片上网络实时目标识别处理器
Pub Date : 2008-12-12 DOI: 10.1109/ASSCC.2008.4708760
Kwanho Kim, Joo-Young Kim, Seungjin Lee, Minsu Kim, H. Yoo
A 76.8 GB/s 46 mW low-latency network-on-chip (NoC) provides a communication platform for a real-time object recognition processor. The tree-based topology NoC with three crossbar switches is designed for low-latency by adopting dual-channel and adaptive switching. The NoC can be dynamically configured to exploit both data-level and object-level parallelism on the object recognition processor. FLIT-level clock gating and packet-based power management scheme are employed for low power consumption. The NoC is implemented in 0.13 mum CMOS process and provides 76.8 GB/s aggregated bandwidth at 400 MHz with 2-clock cycle latency while dissipating 46 mW at 1.2 V.
76.8 GB/s 46 mW低延迟片上网络(NoC)为实时目标识别处理器提供了一个通信平台。采用双通道自适应交换技术,设计了树型三交叉交换拓扑NoC,实现了低时延。可以动态配置NoC,以利用对象识别处理器上的数据级和对象级并行性。为了降低功耗,采用了flit级时钟门控和基于分组的电源管理方案。该NoC采用0.13 μ m CMOS工艺实现,在400 MHz时提供76.8 GB/s的聚合带宽,具有2时钟周期延迟,在1.2 V时功耗为46 mW。
{"title":"A 76.8 GB/s 46 mW low-latency network-on-chip for real-time object recognition processor","authors":"Kwanho Kim, Joo-Young Kim, Seungjin Lee, Minsu Kim, H. Yoo","doi":"10.1109/ASSCC.2008.4708760","DOIUrl":"https://doi.org/10.1109/ASSCC.2008.4708760","url":null,"abstract":"A 76.8 GB/s 46 mW low-latency network-on-chip (NoC) provides a communication platform for a real-time object recognition processor. The tree-based topology NoC with three crossbar switches is designed for low-latency by adopting dual-channel and adaptive switching. The NoC can be dynamically configured to exploit both data-level and object-level parallelism on the object recognition processor. FLIT-level clock gating and packet-based power management scheme are employed for low power consumption. The NoC is implemented in 0.13 mum CMOS process and provides 76.8 GB/s aggregated bandwidth at 400 MHz with 2-clock cycle latency while dissipating 46 mW at 1.2 V.","PeriodicalId":143173,"journal":{"name":"2008 IEEE Asian Solid-State Circuits Conference","volume":"73 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-12-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126372744","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
An ASIC-Ready 1.25–6.25Gb/s SerDes in 90nm CMOS with multi-standard compatibility 一个ASIC-Ready 1.25-6.25Gb /s SerDes, 90nm CMOS,具有多标准兼容性
Pub Date : 2008-12-12 DOI: 10.1109/ASSCC.2008.4708723
Y. Nishi, K. Abe, J. Ribo, B. Roederer, A. Gopalan, M. Benmansour, A. Ho, A. Bhoi, M. Konishi, R. Moriizumi, V. Pathak, S. Gondi
A small area PHY transceiver that is compatible with CE16G-LR, CE16G-SR, SAS-6G, PCle and XAUl standards is demonstrated. The 4-channel transceiver is realized in a 90 nm CMOS process with each channel occupying a die area of 0.325 mm2. Power dissipation per channel is less than 230 mW from a 1V supply for 6.25 Gb/s, and scales for data rates down to 1.25 Gb/s.
演示了一种兼容CE16G-LR、CE16G-SR、SAS-6G、PCle和XAUl标准的小面积PHY收发器。该4通道收发器采用90nm CMOS工艺实现,每个通道占用0.325 mm2的芯片面积。在1V电源下,每通道功耗小于230 mW,传输速率为6.25 Gb/s,数据速率可降至1.25 Gb/s。
{"title":"An ASIC-Ready 1.25–6.25Gb/s SerDes in 90nm CMOS with multi-standard compatibility","authors":"Y. Nishi, K. Abe, J. Ribo, B. Roederer, A. Gopalan, M. Benmansour, A. Ho, A. Bhoi, M. Konishi, R. Moriizumi, V. Pathak, S. Gondi","doi":"10.1109/ASSCC.2008.4708723","DOIUrl":"https://doi.org/10.1109/ASSCC.2008.4708723","url":null,"abstract":"A small area PHY transceiver that is compatible with CE16G-LR, CE16G-SR, SAS-6G, PCle and XAUl standards is demonstrated. The 4-channel transceiver is realized in a 90 nm CMOS process with each channel occupying a die area of 0.325 mm2. Power dissipation per channel is less than 230 mW from a 1V supply for 6.25 Gb/s, and scales for data rates down to 1.25 Gb/s.","PeriodicalId":143173,"journal":{"name":"2008 IEEE Asian Solid-State Circuits Conference","volume":"110 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-12-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122917085","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
A 350-MHz combined TDC-DTC With 61 ps resolution for asynchronous ΔΣ ADC applications 350-MHz组合TDC-DTC,分辨率为61 ps,适用于异步ΔΣ ADC应用
Pub Date : 2008-12-12 DOI: 10.1109/ASSCC.2008.4708803
J. Daniels, W. Dehaene, M. Steyaert, A. Wiesbauer
A combined Time-to-Digital Digital-to-Time Converter (TDC-DTC) is presented for use in a high-precision single-bit Asynchronous DeltaSigma ADC. It quantizes the 1-bit asynchronous square wave with 61 ps precision, obtaining a virtual sampling frequency of 16.4 GHz with only a 350 MHz clock. Measurements confirm that with this precision, the design of a single-bit Asynchronous DeltaSigma ADC obtaining 78 dB SNDR over a 500 kHz bandwidth is feasible using only a first-order noise shaping and with a limit cycle frequency of only 8 MHz. With this technique, both the order and the bandwidth requirements of the noise shaping filter can be relaxed, which significantly reduces the analog complexity of the DeltaSigma Modulator. The proposed architecture is therefore especially suited for low-voltage nanometer technologies.
提出了一种用于高精度单比特异步δ σ ADC的组合时间-数字-时间转换器(TDC-DTC)。它以61 ps的精度量化1位异步方波,仅用350 MHz时钟就获得了16.4 GHz的虚拟采样频率。测量证实,在这种精度下,单比特异步DeltaSigma ADC在500 kHz带宽上获得78 dB SNDR的设计是可行的,仅使用一阶噪声整形,极限环频率仅为8 MHz。利用该技术,可以放松噪声整形滤波器的阶数和带宽要求,从而大大降低了DeltaSigma调制器的模拟复杂度。因此,所提出的架构特别适合于低压纳米技术。
{"title":"A 350-MHz combined TDC-DTC With 61 ps resolution for asynchronous ΔΣ ADC applications","authors":"J. Daniels, W. Dehaene, M. Steyaert, A. Wiesbauer","doi":"10.1109/ASSCC.2008.4708803","DOIUrl":"https://doi.org/10.1109/ASSCC.2008.4708803","url":null,"abstract":"A combined Time-to-Digital Digital-to-Time Converter (TDC-DTC) is presented for use in a high-precision single-bit Asynchronous DeltaSigma ADC. It quantizes the 1-bit asynchronous square wave with 61 ps precision, obtaining a virtual sampling frequency of 16.4 GHz with only a 350 MHz clock. Measurements confirm that with this precision, the design of a single-bit Asynchronous DeltaSigma ADC obtaining 78 dB SNDR over a 500 kHz bandwidth is feasible using only a first-order noise shaping and with a limit cycle frequency of only 8 MHz. With this technique, both the order and the bandwidth requirements of the noise shaping filter can be relaxed, which significantly reduces the analog complexity of the DeltaSigma Modulator. The proposed architecture is therefore especially suited for low-voltage nanometer technologies.","PeriodicalId":143173,"journal":{"name":"2008 IEEE Asian Solid-State Circuits Conference","volume":"32 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-12-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125579491","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Transient-to-digital converter for ESD protection design in microelectronic systems 用于微电子系统ESD保护的瞬态-数字转换器设计
Pub Date : 2008-12-12 DOI: 10.1109/ASSCC.2008.4708814
M. Ker, Cheng-Cheng Yen, C. Liao, Tung-Yang Chen, Chih-Chung Tsai
An on-chip transient-to-digital converter for system-level electrostatic discharge (ESD) protection is proposed. The proposed transient-to-digital converter is designed to detect fast electrical transients during the system-level ESD events. The output digital thermometer codes can correspond to different ESD voltages under system-level ESD tests. The experimental results in a 0.18-mum CMOS integrated circuit (IC) with 3.3-V devices have confirmed the detection function and digital output codes.
提出了一种用于系统级静电放电(ESD)保护的片上瞬态-数字转换器。所提出的瞬态-数字转换器旨在检测系统级ESD事件期间的快速电瞬态。在系统级ESD测试中,输出的数字温度计代码可以对应不同的ESD电压。在3.3 v器件的0.18 μ m CMOS集成电路(IC)上的实验结果证实了该检测功能和数字输出代码。
{"title":"Transient-to-digital converter for ESD protection design in microelectronic systems","authors":"M. Ker, Cheng-Cheng Yen, C. Liao, Tung-Yang Chen, Chih-Chung Tsai","doi":"10.1109/ASSCC.2008.4708814","DOIUrl":"https://doi.org/10.1109/ASSCC.2008.4708814","url":null,"abstract":"An on-chip transient-to-digital converter for system-level electrostatic discharge (ESD) protection is proposed. The proposed transient-to-digital converter is designed to detect fast electrical transients during the system-level ESD events. The output digital thermometer codes can correspond to different ESD voltages under system-level ESD tests. The experimental results in a 0.18-mum CMOS integrated circuit (IC) with 3.3-V devices have confirmed the detection function and digital output codes.","PeriodicalId":143173,"journal":{"name":"2008 IEEE Asian Solid-State Circuits Conference","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-12-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116973090","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A 2.4GHz 40mW 40dB SNDR/62dB SFDR 60MHz bandwidth mirrored-image RF bandpass ΣΔ ADC in 90nm CMOS 2.4GHz 40mW 40dB SNDR/62dB SFDR 60MHz带宽镜像RF带通ΣΔ ADC,采用90nm CMOS
Pub Date : 2008-12-12 DOI: 10.1109/ASSCC.2008.4708802
J. Ryckaert, J. Borremans, B. Verbruggen, J. Van Driessche, L. Van der Perre, J. Craninckx, G. van der Plas
A 6th order RF bandpass SigmaDelta ADC operating on the 2.4 GHz ISM band is presented. The bandpass loop filter is based on digitally programmable Gm-LC resonators. By using a mirrored-image sampling technique, the clock frequency is reduced to 3 GS/s, thereby reducing the power consumption. Implemented in a standard 90 nm CMOS process, the IC achieves 40 dB and 62 dB of SNDR and SFDR respectively on a 60 MHz bandwidth with 40 mW of power consumption.
介绍了一种工作在2.4 GHz ISM频段的六阶射频带通SigmaDelta ADC。带通环滤波器是基于数字可编程的Gm-LC谐振器。通过采用镜像采样技术,时钟频率降低到3gs /s,从而降低了功耗。该集成电路采用标准的90 nm CMOS工艺,在60 MHz带宽和40 mW功耗下分别实现了40 dB和62 dB的SNDR和SFDR。
{"title":"A 2.4GHz 40mW 40dB SNDR/62dB SFDR 60MHz bandwidth mirrored-image RF bandpass ΣΔ ADC in 90nm CMOS","authors":"J. Ryckaert, J. Borremans, B. Verbruggen, J. Van Driessche, L. Van der Perre, J. Craninckx, G. van der Plas","doi":"10.1109/ASSCC.2008.4708802","DOIUrl":"https://doi.org/10.1109/ASSCC.2008.4708802","url":null,"abstract":"A 6th order RF bandpass SigmaDelta ADC operating on the 2.4 GHz ISM band is presented. The bandpass loop filter is based on digitally programmable Gm-LC resonators. By using a mirrored-image sampling technique, the clock frequency is reduced to 3 GS/s, thereby reducing the power consumption. Implemented in a standard 90 nm CMOS process, the IC achieves 40 dB and 62 dB of SNDR and SFDR respectively on a 60 MHz bandwidth with 40 mW of power consumption.","PeriodicalId":143173,"journal":{"name":"2008 IEEE Asian Solid-State Circuits Conference","volume":"197 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-12-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115677723","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
A 15–20GHz delay-locked loop in 90nm CMOS technology 基于90nm CMOS技术的15-20GHz延时锁相环
Pub Date : 2008-12-12 DOI: 10.1109/ASSCC.2008.4708766
Jung-Yu Chang, Chi-Nan Chuang, Shen-Iuan Liu
A 15 GHz~20 GHz delay-locked loop (DLL) has been fabricated in 90 nm CMOS technology. It not only relaxes the speed requirement of the voltage-controlled delay line (VCDL), but also allows the VCDL not to operate at the highest frequency. When this DLL operates at 20 GHz, the measured root-mean-square and peak-to-peak jitters are 0.813 ps and 6.62 ps, respectively. The core area is 0.25times0.4 mm2 and the power consumption is 49 mW for 0.9 V supply.
利用90 nm CMOS技术制备了15 GHz~20 GHz的延时锁相环(DLL)。它不仅放宽了对压控延迟线(VCDL)的速度要求,而且允许VCDL不在最高频率下工作。当DLL工作在20 GHz时,测量到的均方根抖动和峰对峰抖动分别为0.813 ps和6.62 ps。核心面积为0.25 × 0.4 mm2, 0.9 V供电时功耗为49 mW。
{"title":"A 15–20GHz delay-locked loop in 90nm CMOS technology","authors":"Jung-Yu Chang, Chi-Nan Chuang, Shen-Iuan Liu","doi":"10.1109/ASSCC.2008.4708766","DOIUrl":"https://doi.org/10.1109/ASSCC.2008.4708766","url":null,"abstract":"A 15 GHz~20 GHz delay-locked loop (DLL) has been fabricated in 90 nm CMOS technology. It not only relaxes the speed requirement of the voltage-controlled delay line (VCDL), but also allows the VCDL not to operate at the highest frequency. When this DLL operates at 20 GHz, the measured root-mean-square and peak-to-peak jitters are 0.813 ps and 6.62 ps, respectively. The core area is 0.25times0.4 mm2 and the power consumption is 49 mW for 0.9 V supply.","PeriodicalId":143173,"journal":{"name":"2008 IEEE Asian Solid-State Circuits Conference","volume":"24 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-12-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129820120","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A single-loop DLL using an OR-AND duty-cycle correction technique 一个使用OR-AND占空比校正技术的单环DLL
Pub Date : 2008-12-12 DOI: 10.1109/ASSCC.2008.4708774
Keunsoo Song, Cheul-Hee Koo, N. Park, Kwan-Weon Kim, Young-Jung Choi, Jin-Hong Ahn, Byong-Tae Chung
In this paper, we report a single-loop delay-locked loop (DLL) using a novel OR-AND duty-cycle correction (DCC) circuit. The proposed OR-AND DCC circuit employs both an analog blocks to detect duty error precisely and a digital block to control duty-error easily. To prove the proposed concepts, a single-loop DLL employing the proposed OR-AND DCC is demonstrated with SPICE simulation. The DLL using 0.1-mum CMOS process provides clocks with 16-psec peak-to-peak jitter at 1-GHz operating frequency and spends 20-mA bias current at 1.8-V power supply. The proposed DCC has the accuracy of plusmn1 % for plusmn25 % duty error and 300 cycles duty-correction time in the range of 100 MHz -1.3 GHz operating frequencies.
在这篇论文中,我们报导了一个使用新颖的OR-AND占空比校正(DCC)电路的单环延迟锁定环(DLL)。所提出的OR-AND DCC电路既采用模拟块精确检测占空误差,又采用数字块易于控制占空误差。为了验证所提出的概念,使用SPICE仿真演示了采用所提出的OR-AND DCC的单环DLL。采用0.1 μ m CMOS工艺的DLL在1 ghz工作频率下提供16 psec的峰对峰抖动,在1.8 v电源下消耗20 ma的偏置电流。该DCC在100 MHz -1.3 GHz工作频率范围内,对±25%的占空误差具有±n1 %的精度和300个周期的占空校正时间。
{"title":"A single-loop DLL using an OR-AND duty-cycle correction technique","authors":"Keunsoo Song, Cheul-Hee Koo, N. Park, Kwan-Weon Kim, Young-Jung Choi, Jin-Hong Ahn, Byong-Tae Chung","doi":"10.1109/ASSCC.2008.4708774","DOIUrl":"https://doi.org/10.1109/ASSCC.2008.4708774","url":null,"abstract":"In this paper, we report a single-loop delay-locked loop (DLL) using a novel OR-AND duty-cycle correction (DCC) circuit. The proposed OR-AND DCC circuit employs both an analog blocks to detect duty error precisely and a digital block to control duty-error easily. To prove the proposed concepts, a single-loop DLL employing the proposed OR-AND DCC is demonstrated with SPICE simulation. The DLL using 0.1-mum CMOS process provides clocks with 16-psec peak-to-peak jitter at 1-GHz operating frequency and spends 20-mA bias current at 1.8-V power supply. The proposed DCC has the accuracy of plusmn1 % for plusmn25 % duty error and 300 cycles duty-correction time in the range of 100 MHz -1.3 GHz operating frequencies.","PeriodicalId":143173,"journal":{"name":"2008 IEEE Asian Solid-State Circuits Conference","volume":"27 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-12-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115022513","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
A 10Gb/s active-inductor structure with peaking control in 90nm CMOS 一种采用90nm CMOS的10Gb/s峰值控制有源电感结构
Pub Date : 2008-12-12 DOI: 10.1109/ASSCC.2008.4708770
Y. Lee, S. Sheikhaei, S. Mirabbasi
A PMOS-based active inductor circuit for high-speed I/O applications is presented. The active inductor can operate with low voltage headroom and requires no voltage boosting. A prototype output driver circuit using the active inductor is implemented in 90 nm CMOS. The peaking frequency and its corresponding gain magnitude of the active-inductor circuit can be adjusted to facilitate channel loss compensation. Operating at 10 Gb/s over a 6-in FR4 channel, as compared to the case when the active-inductor structure is disabled, the use of active inductor circuit in the transmitter side increases the vertical eye opening at the receiver side by a factor of two and reduces the peak-to-peak jitter of the received data by 30%. By keeping the current of the active inductor above a certain value, impedance variations are minimized and appropriate impedance matching is achieved (S22 less than -10 dB). The active-inductor circuit occupies 17 times 25 mum2 and has a low overhead power consumption of 0.8 mW, i.e., ~10% of the overall power of the prototype output driver.
提出了一种基于pmos的高速I/O有源电感电路。有源电感可以在低电压净空下工作,不需要电压升压。利用有源电感实现了90 nm CMOS输出驱动电路的原型。可以调节有源电感电路的峰值频率及其相应的增益幅度,以方便通道损耗补偿。在6英寸的FR4通道上以10gb /s的速度工作,与禁用有源电感结构的情况相比,在发送端使用有源电感电路将接收端的垂直开口增加了两倍,并将接收数据的峰间抖动减少了30%。通过将有源电感的电流保持在一定值以上,可以使阻抗变化最小化,实现适当的阻抗匹配(S22小于-10 dB)。有源电感电路占用17 × 25mm2,开销功耗为0.8 mW,约为原型输出驱动器总功率的10%。
{"title":"A 10Gb/s active-inductor structure with peaking control in 90nm CMOS","authors":"Y. Lee, S. Sheikhaei, S. Mirabbasi","doi":"10.1109/ASSCC.2008.4708770","DOIUrl":"https://doi.org/10.1109/ASSCC.2008.4708770","url":null,"abstract":"A PMOS-based active inductor circuit for high-speed I/O applications is presented. The active inductor can operate with low voltage headroom and requires no voltage boosting. A prototype output driver circuit using the active inductor is implemented in 90 nm CMOS. The peaking frequency and its corresponding gain magnitude of the active-inductor circuit can be adjusted to facilitate channel loss compensation. Operating at 10 Gb/s over a 6-in FR4 channel, as compared to the case when the active-inductor structure is disabled, the use of active inductor circuit in the transmitter side increases the vertical eye opening at the receiver side by a factor of two and reduces the peak-to-peak jitter of the received data by 30%. By keeping the current of the active inductor above a certain value, impedance variations are minimized and appropriate impedance matching is achieved (S22 less than -10 dB). The active-inductor circuit occupies 17 times 25 mum2 and has a low overhead power consumption of 0.8 mW, i.e., ~10% of the overall power of the prototype output driver.","PeriodicalId":143173,"journal":{"name":"2008 IEEE Asian Solid-State Circuits Conference","volume":"43 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2008-12-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125702864","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
期刊
2008 IEEE Asian Solid-State Circuits Conference
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1