首页 > 最新文献

Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)最新文献

英文 中文
Coral-automating the design of systems-on-chip using cores 利用核心实现片上系统的自动化设计
R. Bergamaschi, William R. Lee, Duane E. Richardson, S. Bhattacharya, Michael Muhlada, Ronaldo Wagner, Arthur Weiner, Foster White
The reuse of pre-designed and pre-verified IP blocks or cores has been touted as the enabler of large systems-on-chip designs. However, the lack of appropriate tools and the increasing complexity of such cores makes them inherently difficult and error-prone to use. This paper presents a new tool, "Coral", for the design of systems using cores. Coral is based on a new synthesizable virtual design representation which is automatically synthesized to a real design. Novel algorithms are presented to interconnect cores automatically as well as configure system parameters, such as interrupt maps, DMA channel assignments, etc. Coral significantly reduces the time, complexity and potential for errors associated with SoC integration.
预先设计和预先验证的IP块或内核的重用被吹捧为大型片上系统设计的推动者。然而,由于缺乏适当的工具,而且这些核心的复杂性不断增加,使得它们在使用上天生就很困难,而且容易出错。本文提出了一种新的工具“Coral”,用于设计使用岩心的系统。珊瑚是基于一种新的可合成的虚拟设计表示,它被自动合成为一个真实的设计。提出了一种新的算法来自动互连核心以及配置系统参数,如中断映射、DMA信道分配等。Coral显著减少了与SoC集成相关的时间、复杂性和潜在错误。
{"title":"Coral-automating the design of systems-on-chip using cores","authors":"R. Bergamaschi, William R. Lee, Duane E. Richardson, S. Bhattacharya, Michael Muhlada, Ronaldo Wagner, Arthur Weiner, Foster White","doi":"10.1109/CICC.2000.852628","DOIUrl":"https://doi.org/10.1109/CICC.2000.852628","url":null,"abstract":"The reuse of pre-designed and pre-verified IP blocks or cores has been touted as the enabler of large systems-on-chip designs. However, the lack of appropriate tools and the increasing complexity of such cores makes them inherently difficult and error-prone to use. This paper presents a new tool, \"Coral\", for the design of systems using cores. Coral is based on a new synthesizable virtual design representation which is automatically synthesized to a real design. Novel algorithms are presented to interconnect cores automatically as well as configure system parameters, such as interrupt maps, DMA channel assignments, etc. Coral significantly reduces the time, complexity and potential for errors associated with SoC integration.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79149367","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
Modular test generation and concurrent transparency-based test translation using gate-level ATPG 使用门级ATPG的模块化测试生成和基于并行透明性的测试翻译
Y. Makris, A. Orailoglu, P. Vishakantaiah
We introduce a hierarchical test generation methodology for modular designs, employing exclusively gate-level ATPG. Based on the notion of modular transparency, the search space of the design is reduced to alleviate the complexity of gate-level test generation. Although ATPG is applied at the full circuit, faults in each module are targeted individually, while the surrounding modules are replaced by their much simpler, transparency-equivalent logic. As analyzed theoretically and as demonstrated through a set of experimental data, the proposed methodology results in significant test generation speed-up, while preserving comparable fault coverage and vector count to full-circuit gate-level ATPG.
我们引入了模块化设计的分层测试生成方法,专门采用门级ATPG。基于模块化透明的概念,减小了设计的搜索空间,降低了门级测试生成的复杂性。虽然ATPG应用于整个电路,但每个模块的故障都是单独针对的,而周围的模块则被更简单的透明等效逻辑所取代。通过理论分析和一组实验数据证明,所提出的方法可以显著提高测试生成速度,同时保持与全电路门级ATPG相当的故障覆盖率和矢量计数。
{"title":"Modular test generation and concurrent transparency-based test translation using gate-level ATPG","authors":"Y. Makris, A. Orailoglu, P. Vishakantaiah","doi":"10.1109/CICC.2000.852621","DOIUrl":"https://doi.org/10.1109/CICC.2000.852621","url":null,"abstract":"We introduce a hierarchical test generation methodology for modular designs, employing exclusively gate-level ATPG. Based on the notion of modular transparency, the search space of the design is reduced to alleviate the complexity of gate-level test generation. Although ATPG is applied at the full circuit, faults in each module are targeted individually, while the surrounding modules are replaced by their much simpler, transparency-equivalent logic. As analyzed theoretically and as demonstrated through a set of experimental data, the proposed methodology results in significant test generation speed-up, while preserving comparable fault coverage and vector count to full-circuit gate-level ATPG.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76261223","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Dynamic current mode logic (DyCML), a new low-power high-performance logic family 动态电流模式逻辑(Dynamic current mode logic, DyCML)是一种新型的低功耗高性能逻辑系列
M. Allam, M. Elmasry
This paper presents a new logic style DyCML for low-power high-performance VLSI applications. The new logic family combines the speed, low supply voltage and noise immunity advantages of MCML circuits while achieving the low standby current and design simplicity features of dynamic circuits. Simulation results show that DyCML circuits are superior to CMOS and DCVS logic styles in terms of power and delay. A 16 bit DyCML Carry Look Ahead Adder (CLA) fabricated in 0.6 /spl mu/m achieves a delay of 1.1 ns while dissipating 21.2 mW at 400 MHz.
本文提出了一种适用于低功耗高性能VLSI应用的新型逻辑样式DyCML。新的逻辑系列结合了MCML电路的速度,低电源电压和抗噪性优势,同时实现了动态电路的低待机电流和设计简单的特点。仿真结果表明,DyCML电路在功耗和时延方面都优于CMOS和DCVS逻辑电路。一个16位DyCML进位前置加法器(CLA)以0.6 /spl mu/m的速度制造,在400 MHz时功耗为21.2 mW,延迟为1.1 ns。
{"title":"Dynamic current mode logic (DyCML), a new low-power high-performance logic family","authors":"M. Allam, M. Elmasry","doi":"10.1109/CICC.2000.852699","DOIUrl":"https://doi.org/10.1109/CICC.2000.852699","url":null,"abstract":"This paper presents a new logic style DyCML for low-power high-performance VLSI applications. The new logic family combines the speed, low supply voltage and noise immunity advantages of MCML circuits while achieving the low standby current and design simplicity features of dynamic circuits. Simulation results show that DyCML circuits are superior to CMOS and DCVS logic styles in terms of power and delay. A 16 bit DyCML Carry Look Ahead Adder (CLA) fabricated in 0.6 /spl mu/m achieves a delay of 1.1 ns while dissipating 21.2 mW at 400 MHz.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77122747","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 18
Frequency-scalable SiGe bipolar RFIC front-end design 频率可扩展SiGe双极RFIC前端设计
O. Shana'a, I. Linscott, L. Tyler
A highly-optimized SiGe RF bipolar front-end design is proposed. The optimum noise figure (NF/sub opt/) of a bipolar device is introduced in contrast with the minimum noise figure (NF/sub min/). An analytical method to design the low noise amplifier (LNA) at the optimum noise figure point is derived. The optimized LNA design scales linearly with frequency for multi-band RF front-end design. The optimization method is extended to the design of an improved Gilbert cell active mixer. The technique was demonstrated on a 1800 MHz SiGe bipolar RF front-end whose LNA achieves a 1.3 dB NF at a bias current of 4.5 mA while the mixer achieves a single-sideband noise figure (SSB NF) of 6.5 dB at only 4.8 mA.
提出了一种高度优化的SiGe射频双极前端设计方案。介绍了双极器件的最佳噪声系数(NF/sub opt/)与最小噪声系数(NF/sub min/)的对比。导出了在最佳噪声系数点处设计低噪声放大器的解析方法。优化后的LNA设计与频率呈线性关系,适用于多频段射频前端设计。将该优化方法推广到改进的吉尔伯特单元有源混频器的设计中。该技术在1800 MHz SiGe双极射频前端上进行了演示,其LNA在4.5 mA偏置电流下达到1.3 dB NF,而混频器在仅4.8 mA时达到6.5 dB的单边带噪声系数(SSB NF)。
{"title":"Frequency-scalable SiGe bipolar RFIC front-end design","authors":"O. Shana'a, I. Linscott, L. Tyler","doi":"10.1109/CICC.2000.852645","DOIUrl":"https://doi.org/10.1109/CICC.2000.852645","url":null,"abstract":"A highly-optimized SiGe RF bipolar front-end design is proposed. The optimum noise figure (NF/sub opt/) of a bipolar device is introduced in contrast with the minimum noise figure (NF/sub min/). An analytical method to design the low noise amplifier (LNA) at the optimum noise figure point is derived. The optimized LNA design scales linearly with frequency for multi-band RF front-end design. The optimization method is extended to the design of an improved Gilbert cell active mixer. The technique was demonstrated on a 1800 MHz SiGe bipolar RF front-end whose LNA achieves a 1.3 dB NF at a bias current of 4.5 mA while the mixer achieves a single-sideband noise figure (SSB NF) of 6.5 dB at only 4.8 mA.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80095048","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 107
A CMOS readout circuit for pico-ampere thin film pyroelectric array detectors 一种用于皮安培薄膜热释电阵列探测器的CMOS读出电路
T. Reimann, F. Krummenacher, B. Willing, P. Muralt, M. Declercq
A 16-channel readout circuit able to process low frequency modulated (1-10 hertz) current signals in the pico-ampere range from a thin film pyroelectric array detector has been integrated in a 0.7 /spl mu/m CMOS technology. Each channel consists of a high gain (14 G/spl Omega/) transimpedance preamplifier having an input-referred current noise spectral density of 1.5 fA//spl radic/Hz at 10 Hz. A multiplier for demodulating the signal follows the preamplifier (chopper frequency fixed at 10 Hz). Finally, the signal is integrated over 8 periods before being converted by a 12-bit A/D converter. The multiplication, the integration and the A/D conversion are implemented within a single block using a first-order switched-capacitor incremental A/D converter architecture.
一个16通道读出电路能够处理来自薄膜热释电阵列探测器的皮安培范围内的低频调制(1-10赫兹)电流信号,集成在0.7 /spl mu/m CMOS技术中。每个通道由一个高增益(14g /spl ω /)跨阻前置放大器组成,其输入参考电流噪声谱密度在10hz时为1.5 fA//spl径向/Hz。用于解调信号的乘法器跟随前置放大器(斩波频率固定在10 Hz)。最后,信号经过8个周期的积分,然后通过12位a /D转换器进行转换。使用一阶开关电容增量A/D转换器架构,在单个块内实现乘法、集成和A/D转换。
{"title":"A CMOS readout circuit for pico-ampere thin film pyroelectric array detectors","authors":"T. Reimann, F. Krummenacher, B. Willing, P. Muralt, M. Declercq","doi":"10.1109/CICC.2000.852694","DOIUrl":"https://doi.org/10.1109/CICC.2000.852694","url":null,"abstract":"A 16-channel readout circuit able to process low frequency modulated (1-10 hertz) current signals in the pico-ampere range from a thin film pyroelectric array detector has been integrated in a 0.7 /spl mu/m CMOS technology. Each channel consists of a high gain (14 G/spl Omega/) transimpedance preamplifier having an input-referred current noise spectral density of 1.5 fA//spl radic/Hz at 10 Hz. A multiplier for demodulating the signal follows the preamplifier (chopper frequency fixed at 10 Hz). Finally, the signal is integrated over 8 periods before being converted by a 12-bit A/D converter. The multiplication, the integration and the A/D conversion are implemented within a single block using a first-order switched-capacitor incremental A/D converter architecture.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78436998","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Automated extraction of nonlinear circuit macromodels 非线性电路宏模型的自动提取
J. Phillips
Model reduction is a popular approach for incorporating detailed physical effects into high level simulations. In this paper the author presents a simple method for automatically extracting macromodels of nonlinear circuits with time-varying operating points. The models generated are truly "reduced" meaning that the complexity of macromodel evaluation is not strongly dependent on the size or complexity of the original detailed circuit description.
模型简化是一种将详细的物理效果纳入高级模拟的流行方法。本文提出了一种具有时变工作点的非线性电路宏模型的简单自动提取方法。生成的模型是真正“简化”的,这意味着宏模型评估的复杂性不强烈依赖于原始详细电路描述的大小或复杂性。
{"title":"Automated extraction of nonlinear circuit macromodels","authors":"J. Phillips","doi":"10.1109/CICC.2000.852706","DOIUrl":"https://doi.org/10.1109/CICC.2000.852706","url":null,"abstract":"Model reduction is a popular approach for incorporating detailed physical effects into high level simulations. In this paper the author presents a simple method for automatically extracting macromodels of nonlinear circuits with time-varying operating points. The models generated are truly \"reduced\" meaning that the complexity of macromodel evaluation is not strongly dependent on the size or complexity of the original detailed circuit description.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"91341374","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 69
A 4 channel analog front end for central office ADSL modems 一个4通道模拟前端局ADSL调制解调器
J. Kenney, Faramarz Sabouri, V. Leung, J. Guido, E. Zimany, A. Agrillo, J. Trackim, J. Khoury, Reza Shariatdous
This chip integrates a 4 channel analog front-end for central office ADSL modems. The receive path has a programmable gain amplifier (PGA) with 30 dB of range followed by a fourth-order 2-bit sigma-delta modulator clocking at 35 MHz. The transmit path uses a 14-bit current steering D/A converter followed by a fourth-order low-pass filter. The device is implemented in 0.35 /spl mu/m CMOS and consumes less than 160 mW per channel. It is packaged in a 100 pin MQFP package.
该芯片集成了一个4通道模拟前端的中央局ADSL调制解调器。接收路径具有一个可编程增益放大器(PGA),其范围为30db,随后是一个时钟频率为35mhz的四阶2位sigma-delta调制器。传输路径使用一个14位电流导向的D/ a转换器,然后是一个四阶低通滤波器。该器件以0.35 /spl mu/m CMOS实现,每个通道消耗低于160 mW。它被封装在一个100引脚的MQFP封装中。
{"title":"A 4 channel analog front end for central office ADSL modems","authors":"J. Kenney, Faramarz Sabouri, V. Leung, J. Guido, E. Zimany, A. Agrillo, J. Trackim, J. Khoury, Reza Shariatdous","doi":"10.1109/CICC.2000.852673","DOIUrl":"https://doi.org/10.1109/CICC.2000.852673","url":null,"abstract":"This chip integrates a 4 channel analog front-end for central office ADSL modems. The receive path has a programmable gain amplifier (PGA) with 30 dB of range followed by a fourth-order 2-bit sigma-delta modulator clocking at 35 MHz. The transmit path uses a 14-bit current steering D/A converter followed by a fourth-order low-pass filter. The device is implemented in 0.35 /spl mu/m CMOS and consumes less than 160 mW per channel. It is packaged in a 100 pin MQFP package.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"91451245","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
A single chip 155 Mbps/140 Mbps SDH/PDH transceiver 单片155mbps / 140mbps SDH/PDH收发器
J. Guinea, L. Tomasini, Santo Maggio, Massimiliano Rutar
The 155 Mbps (STM-l electrical) transceiver complies with the relevant ITU-T recommendations. The transmit channel features CMI transmission (transformerless) with specified jitter-generation. On the receiver side, jitter-tolerance and bit error rate performance is attained. A cable equalizer supports 13.7 dB loss (Nyquist-frequency) and has an eye-closure less than 600 psec. The device uses one master-clock (155 MHz) and a DLL for both TX and RX synchronization. Targeted crosstalk isolation performance is achieved with a 0.35 /spl mu/m BiCMOS technology. The TQFP48 IC powered from 3.3 V consumes 390 mW.
155mbps (stm - 1电气)收发器符合ITU-T的相关建议。传输通道具有CMI传输(无变压器),具有指定的抖动产生。在接收端,获得了抖动容忍和误码率性能。电缆均衡器支持13.7 dB损耗(奈奎斯特频率),闭眼时间小于600 psec。该设备使用一个主时钟(155 MHz)和一个DLL用于TX和RX同步。采用0.35 /spl mu/m的BiCMOS技术实现了有针对性的串扰隔离性能。由3.3 V供电的TQFP48 IC消耗390 mW。
{"title":"A single chip 155 Mbps/140 Mbps SDH/PDH transceiver","authors":"J. Guinea, L. Tomasini, Santo Maggio, Massimiliano Rutar","doi":"10.1109/CICC.2000.852675","DOIUrl":"https://doi.org/10.1109/CICC.2000.852675","url":null,"abstract":"The 155 Mbps (STM-l electrical) transceiver complies with the relevant ITU-T recommendations. The transmit channel features CMI transmission (transformerless) with specified jitter-generation. On the receiver side, jitter-tolerance and bit error rate performance is attained. A cable equalizer supports 13.7 dB loss (Nyquist-frequency) and has an eye-closure less than 600 psec. The device uses one master-clock (155 MHz) and a DLL for both TX and RX synchronization. Targeted crosstalk isolation performance is achieved with a 0.35 /spl mu/m BiCMOS technology. The TQFP48 IC powered from 3.3 V consumes 390 mW.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75505452","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 1 V, 1 mW, 434 MHz FSK receiver fully integrated in a standard digital CMOS process 1 V, 1 mW, 434 MHz FSK接收机完全集成在一个标准的数字CMOS工艺
Alain-Serge Porret, T. Melly, D. Python, C. Enz, E. Vittoz
A broad range of new high-volume consumer applications require the availability of low-power, battery operated, wireless microsystems and sensors. For such applications, a fully integrated receiver based on a direct conversion architecture was designed and realized in a standard 0.5 /spl mu/m digital CMOS process with 0.6 V threshold voltage. It uses FSK modulation in the 434 MHz ISM band, and operates with only 1 V supply, allowing it to be powered by a single battery cell. It achieves a -95 dBm sensitivity for a data rate of 24 kbit/s and an ultralow power consumption of only 1 mW.
广泛的新型大批量消费应用需要低功耗、电池供电的无线微系统和传感器。针对这些应用,设计并实现了基于直接转换架构的全集成接收器,采用标准的0.5 /spl mu/m数字CMOS工艺,阈值电压为0.6 V。它在434 MHz ISM频段使用FSK调制,并且仅使用1 V电源,允许它由单个电池供电。它实现了-95 dBm的灵敏度,数据速率为24 kbit/s,超低功耗仅为1 mW。
{"title":"A 1 V, 1 mW, 434 MHz FSK receiver fully integrated in a standard digital CMOS process","authors":"Alain-Serge Porret, T. Melly, D. Python, C. Enz, E. Vittoz","doi":"10.1109/CICC.2000.852642","DOIUrl":"https://doi.org/10.1109/CICC.2000.852642","url":null,"abstract":"A broad range of new high-volume consumer applications require the availability of low-power, battery operated, wireless microsystems and sensors. For such applications, a fully integrated receiver based on a direct conversion architecture was designed and realized in a standard 0.5 /spl mu/m digital CMOS process with 0.6 V threshold voltage. It uses FSK modulation in the 434 MHz ISM band, and operates with only 1 V supply, allowing it to be powered by a single battery cell. It achieves a -95 dBm sensitivity for a data rate of 24 kbit/s and an ultralow power consumption of only 1 mW.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75588596","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
Field configurable system-on-chip device architecture 现场可配置的片上系统设备架构
Steven K. Knapp, D. Tavana
Time to market pressures, increasing system complexity, and smaller process geometries, are creating a market vacuum that will be increasingly addressed by an important emerging category of devices: the Configurable System-on-Chip (CsoC). These application specific programmable parts (ASPP) are single chip combinations of microprocessors, memory, dedicated peripheral functions, and embedded programmable logic. They provide unprecedented time-to-market benefits and field customization for the electronic systems of this upcoming decade. Integration of microprocessors, memory, peripherals, and programmable logic is made possible with a new bus architecture called the Configurable System Interconnect Bus (CSI). The Configurable System Interconnect Bus was specifically designed to facilitate re-use, guarantee timing, increase system throughput, and reduce system debug time in applications that require intense time-to-market and field upgrade.
市场压力、系统复杂性的增加和更小的工艺几何形状,正在创造一个市场真空,这将越来越多地由一个重要的新兴设备类别来解决:可配置系统芯片(CsoC)。这些特定于应用程序的可编程部件(ASPP)是微处理器、存储器、专用外设功能和嵌入式可编程逻辑的单芯片组合。它们为即将到来的十年的电子系统提供了前所未有的上市时间效益和现场定制。微处理器、存储器、外设和可编程逻辑的集成通过一种称为可配置系统互连总线(CSI)的新总线体系结构成为可能。可配置系统互连总线是专门设计用于促进重用,保证定时,提高系统吞吐量,并减少系统调试时间,在应用程序中需要紧张的时间到市场和现场升级。
{"title":"Field configurable system-on-chip device architecture","authors":"Steven K. Knapp, D. Tavana","doi":"10.1109/CICC.2000.852639","DOIUrl":"https://doi.org/10.1109/CICC.2000.852639","url":null,"abstract":"Time to market pressures, increasing system complexity, and smaller process geometries, are creating a market vacuum that will be increasingly addressed by an important emerging category of devices: the Configurable System-on-Chip (CsoC). These application specific programmable parts (ASPP) are single chip combinations of microprocessors, memory, dedicated peripheral functions, and embedded programmable logic. They provide unprecedented time-to-market benefits and field customization for the electronic systems of this upcoming decade. Integration of microprocessors, memory, peripherals, and programmable logic is made possible with a new bus architecture called the Configurable System Interconnect Bus (CSI). The Configurable System Interconnect Bus was specifically designed to facilitate re-use, guarantee timing, increase system throughput, and reduce system debug time in applications that require intense time-to-market and field upgrade.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75654487","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
期刊
Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1