首页 > 最新文献

Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)最新文献

英文 中文
On-chip inductance modeling and RLC extraction of VLSI interconnects for circuit simulation 用于电路仿真的超大规模集成电路互连片上电感建模和RLC提取
X. Qi, Gaofeng Wang, Zhiping Yu, R. Dutton, T. Young, N. Chang
On-chip inductance modeling of VLSI interconnects is presented which captures 3D geometry from layout design and process technology information. Analytical formulae are derived for quick and accurate inductance estimation which can be used in circuit simulations and whole chip extraction screening process. Circuit simulations show critical global wire inductive effects as well as power and ground inductive noise.
提出了从版图设计和工艺信息中获取三维几何图形的超大规模集成电路互连片上电感建模方法。推导出快速准确的电感估计解析公式,可用于电路仿真和整片提取筛选过程。电路仿真显示了关键的全局导线感应效应以及功率和地感应噪声。
{"title":"On-chip inductance modeling and RLC extraction of VLSI interconnects for circuit simulation","authors":"X. Qi, Gaofeng Wang, Zhiping Yu, R. Dutton, T. Young, N. Chang","doi":"10.1109/CICC.2000.852714","DOIUrl":"https://doi.org/10.1109/CICC.2000.852714","url":null,"abstract":"On-chip inductance modeling of VLSI interconnects is presented which captures 3D geometry from layout design and process technology information. Analytical formulae are derived for quick and accurate inductance estimation which can be used in circuit simulations and whole chip extraction screening process. Circuit simulations show critical global wire inductive effects as well as power and ground inductive noise.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79088077","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 65
Effect of technology scaling on digital CMOS logic styles 技术缩放对数字CMOS逻辑样式的影响
M. Allam, M. Anis, M. Elmasry
In this paper, the main challenges of technology scaling are reviewed in depth. Five popular logic families, namely, conventional CMOS, CPL, Domino, DCVS and MCML are represented highlighting their advantages and drawbacks. The behavior of each logic style in deep submicron technologies is analyzed and predicted for future generations. To verify the qualitative analysis, simulations were performed on the basic logic gates, full adder and a 16-bit carry look ahead adder. The circuits were implemented in 0.8, 0.6, 0.35 and 0.25 /spl mu/m CMOS technologies.
本文对技术规模化面临的主要挑战进行了深入评述。五种流行的逻辑家族,即传统的CMOS, CPL, Domino, DCVS和MCML,突出了它们的优点和缺点。对深亚微米技术中每种逻辑样式的行为进行了分析和预测。为了验证定性分析,对基本逻辑门、全加法器和16位进位前置加法器进行了仿真。电路采用0.8、0.6、0.35和0.25 /spl mu/m的CMOS技术实现。
{"title":"Effect of technology scaling on digital CMOS logic styles","authors":"M. Allam, M. Anis, M. Elmasry","doi":"10.1109/CICC.2000.852695","DOIUrl":"https://doi.org/10.1109/CICC.2000.852695","url":null,"abstract":"In this paper, the main challenges of technology scaling are reviewed in depth. Five popular logic families, namely, conventional CMOS, CPL, Domino, DCVS and MCML are represented highlighting their advantages and drawbacks. The behavior of each logic style in deep submicron technologies is analyzed and predicted for future generations. To verify the qualitative analysis, simulations were performed on the basic logic gates, full adder and a 16-bit carry look ahead adder. The circuits were implemented in 0.8, 0.6, 0.35 and 0.25 /spl mu/m CMOS technologies.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90998667","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
A 64 min single-chip voice recorder/player using embedded 4 bit/cell flash memory 一个64分钟的单芯片录音/播放器,使用嵌入式4位/单元闪存
M. Borgatti, A. Rocchi, Marco Bisio, M. Besana, L. Navoni, P. Rolandi
A system-on-chip prototype implements a full integration of a 64-minute digital voice recorder/player embedding a 4 bit/cell multilevel digital flash memory. A speech coder/decoder (8 to 40 kbps), an MCU and an 8 Mcell/32 Mb multilevel flash memory with fully digital on-chip BIST solution are integrated in a 0.5 /spl mu/m embedded flash technology. The system features a modular architecture allowing full reuse and mix-and-match of its IP building blocks. The chip counts 13M transistors at 225 mm/sup 2/ area.
片上系统原型实现了64分钟数字录音机/播放器的完全集成,嵌入了一个4位/单元的多级数字闪存。语音编码器/解码器(8至40 kbps), MCU和8 Mcell/32 Mb多级闪存与全数字片上BIST解决方案集成在0.5 /spl mu/m嵌入式闪存技术中。该系统采用模块化架构,允许完全重用和混合匹配其IP构建模块。该芯片以225 mm/sup /面积计有13M个晶体管。
{"title":"A 64 min single-chip voice recorder/player using embedded 4 bit/cell flash memory","authors":"M. Borgatti, A. Rocchi, Marco Bisio, M. Besana, L. Navoni, P. Rolandi","doi":"10.1109/CICC.2000.852652","DOIUrl":"https://doi.org/10.1109/CICC.2000.852652","url":null,"abstract":"A system-on-chip prototype implements a full integration of a 64-minute digital voice recorder/player embedding a 4 bit/cell multilevel digital flash memory. A speech coder/decoder (8 to 40 kbps), an MCU and an 8 Mcell/32 Mb multilevel flash memory with fully digital on-chip BIST solution are integrated in a 0.5 /spl mu/m embedded flash technology. The system features a modular architecture allowing full reuse and mix-and-match of its IP building blocks. The chip counts 13M transistors at 225 mm/sup 2/ area.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89654607","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
A 1.8-V embedded 18-Mb DRAM macro with a 9-ns RAS access time and memory cell efficiency of 33% 1.8 v嵌入式18mb DRAM宏,RAS存取时间为9 ns,存储单元效率为33%
Y. Yokoyama, Nybutaka Itoh, Masap Katayama, K. Takashima, Hiroshi Akasaki, Masayuki Kaneda, Toshitsugu Ueda, Yousuke Tanaka, E. Yamasaki, Masaya Todokoro, Keinosuke Toriyama, H. Miki, M. Yagyu, T. Kobayashi, S. Miyaoka, N. Tamba
A 1.8-V embedded 18-Mb DRAM with memory-cell efficiency of 33% that is achieved by a single-side interface architecture has been developed. A 9-ns RAS access time and a 4.6-ns CAS access time that enables a data-translation rate of 40 Gb/s was achieved. To achieve fast access time, it uses a multi-word redundancy scheme and a YS merged sense scheme. Noise restraint capacitors are introduced to reduce the induced noise to as low as 100 mV for simultaneous wide bandwidth operation with VDD of 1.8 V.
开发了一种1.8 v嵌入式18mb DRAM,通过单面接口架构实现了33%的存储单元效率。实现了9 ns的RAS访问时间和4.6 ns的CAS访问时间,实现了40 Gb/s的数据转换速率。为了获得快速的访问时间,采用了多字冗余方案和YS合并感测方案。在VDD为1.8 V的情况下,通过引入抑制噪声电容,可将感应噪声降低至100 mV。
{"title":"A 1.8-V embedded 18-Mb DRAM macro with a 9-ns RAS access time and memory cell efficiency of 33%","authors":"Y. Yokoyama, Nybutaka Itoh, Masap Katayama, K. Takashima, Hiroshi Akasaki, Masayuki Kaneda, Toshitsugu Ueda, Yousuke Tanaka, E. Yamasaki, Masaya Todokoro, Keinosuke Toriyama, H. Miki, M. Yagyu, T. Kobayashi, S. Miyaoka, N. Tamba","doi":"10.1109/CICC.2000.852666","DOIUrl":"https://doi.org/10.1109/CICC.2000.852666","url":null,"abstract":"A 1.8-V embedded 18-Mb DRAM with memory-cell efficiency of 33% that is achieved by a single-side interface architecture has been developed. A 9-ns RAS access time and a 4.6-ns CAS access time that enables a data-translation rate of 40 Gb/s was achieved. To achieve fast access time, it uses a multi-word redundancy scheme and a YS merged sense scheme. Noise restraint capacitors are introduced to reduce the induced noise to as low as 100 mV for simultaneous wide bandwidth operation with VDD of 1.8 V.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74937190","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A 1 mA, -120.5 dbc/Hz at 600 kHz from 1.9 GHz fully tuneable LC CMOS VCO 1毫安,-120.5 dbc/Hz在600 kHz从1.9 GHz全可调谐LC CMOS压控振荡器
F. Svelto, S. Deantoni, R. Castello
A 2 V, 1 mA, 1.8 GHz to 2.45 GHz tuneable LC-tank CMOS VCO is presented. The tank is made of a MOS varactor (worked between accumulation and deep depletion) and a bondwire inductor, realized connecting two pads to a package frame lead, to be compatible with the production environment. This solution enables one to tune all components variations, while achieving the lowest phase noise times current consumption product, reported to date.
介绍了一种2v, 1ma, 1.8 GHz至2.45 GHz可调谐LC-tank CMOS压控振荡器。该储罐由MOS变容管(工作于积累和深耗尽之间)和键合线电感组成,实现了将两个焊盘连接到封装框架引线上,以适应生产环境。该解决方案使人们能够调整所有组件的变化,同时实现迄今为止报道的最低相位噪声倍电流消耗产品。
{"title":"A 1 mA, -120.5 dbc/Hz at 600 kHz from 1.9 GHz fully tuneable LC CMOS VCO","authors":"F. Svelto, S. Deantoni, R. Castello","doi":"10.1109/CICC.2000.852734","DOIUrl":"https://doi.org/10.1109/CICC.2000.852734","url":null,"abstract":"A 2 V, 1 mA, 1.8 GHz to 2.45 GHz tuneable LC-tank CMOS VCO is presented. The tank is made of a MOS varactor (worked between accumulation and deep depletion) and a bondwire inductor, realized connecting two pads to a package frame lead, to be compatible with the production environment. This solution enables one to tune all components variations, while achieving the lowest phase noise times current consumption product, reported to date.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82087941","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 36
A CMOS g/sub m/-C IF filter for Bluetooth 用于蓝牙的CMOS g/sub / c中频滤波器
P. Andreani, S. Mattisson
An 18/sup th/ (4/sup th/+14/sup th/) order g/sub m/-C IF filter for the Bluetooth short-range radio, implemented in a 0.6 /spl mu/m CMOS process, is presented. The filter bandwidth is 1 MHz, the center frequency f/sub c/ is 3 MHz, the in-band group delay variation is 0.75 /spl mu/s, and the stop-band attenuation at f/sub c//spl plusmn/1 MHz is at least 47 dB. The noise floor is 250 /spl mu/V/sub r.m.s./ and the spurious free dynamic range is at least 58 dB for out-of-band signals, thus exceeding the Bluetooth requirements. Current consumption is 2.4 mA from a 2.5 V power supply.
提出了一种用于蓝牙短距离无线电的18/sup / (4/sup /+14/sup /)阶g/sub / c中频滤波器,以0.6 /spl mu/m的CMOS工艺实现。滤波器带宽为1 MHz,中心频率f/sub c/为3 MHz,带内群延迟变化为0.75 /spl mu/s, f/sub c//spl plusmn/1 MHz处阻带衰减至少为47 dB。本底噪声为250 /spl mu/V/sub r.m.s./,带外信号的无杂散动态范围至少为58 dB,超过了蓝牙要求。2.5 V电源的电流消耗为2.4 mA。
{"title":"A CMOS g/sub m/-C IF filter for Bluetooth","authors":"P. Andreani, S. Mattisson","doi":"10.1109/CICC.2000.852693","DOIUrl":"https://doi.org/10.1109/CICC.2000.852693","url":null,"abstract":"An 18/sup th/ (4/sup th/+14/sup th/) order g/sub m/-C IF filter for the Bluetooth short-range radio, implemented in a 0.6 /spl mu/m CMOS process, is presented. The filter bandwidth is 1 MHz, the center frequency f/sub c/ is 3 MHz, the in-band group delay variation is 0.75 /spl mu/s, and the stop-band attenuation at f/sub c//spl plusmn/1 MHz is at least 47 dB. The noise floor is 250 /spl mu/V/sub r.m.s./ and the spurious free dynamic range is at least 58 dB for out-of-band signals, thus exceeding the Bluetooth requirements. Current consumption is 2.4 mA from a 2.5 V power supply.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81529144","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
VLSI implementation of a realtime wavelet video coder VLSI实现的一个实时小波视频编码器
R. Y. Omaki, Yu Dong, M. H. Miki, M. Furuie, Shohei Yamada, D. Taki, Masaya Tarui, G. Fujita, T. Onoye, I. Shirakawa
The architecture of a realtime wavelet video coder is described, with the main emphasis put on memory bandwidth reduction and efficient VLSI implementation. The proposed architecture adopts a modified 2-D subband decomposition scheme, alongside of a parallelized pipelined Embedded Zerotree Wavelet coder architecture. The video encoder is integrated in a 0.35 /spl mu/m 3LM chip by using 341 K transistors on a 4.93/spl times/4.93 mm/sup 2/ die, which can process 720/spl times/480 30 fps pictures in realtime.
本文描述了一种实时小波视频编码器的结构,重点介绍了存储带宽的减少和高效的VLSI实现。该结构采用改进的二维子带分解方案和并行流水线嵌入式零树小波编码器结构。视频编码器集成在一个0.35 /spl mu/m的3LM芯片上,在一个4.93/spl次/4.93 mm/sup / 2/芯片上使用341 K晶体管,可实时处理720/spl次/480帧30 fps的图像。
{"title":"VLSI implementation of a realtime wavelet video coder","authors":"R. Y. Omaki, Yu Dong, M. H. Miki, M. Furuie, Shohei Yamada, D. Taki, Masaya Tarui, G. Fujita, T. Onoye, I. Shirakawa","doi":"10.1109/CICC.2000.852726","DOIUrl":"https://doi.org/10.1109/CICC.2000.852726","url":null,"abstract":"The architecture of a realtime wavelet video coder is described, with the main emphasis put on memory bandwidth reduction and efficient VLSI implementation. The proposed architecture adopts a modified 2-D subband decomposition scheme, alongside of a parallelized pipelined Embedded Zerotree Wavelet coder architecture. The video encoder is integrated in a 0.35 /spl mu/m 3LM chip by using 341 K transistors on a 4.93/spl times/4.93 mm/sup 2/ die, which can process 720/spl times/480 30 fps pictures in realtime.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82482573","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A PN-acquisition ASIC for wireless CDMA systems 一种用于无线CDMA系统的pn采集ASIC
C. Deng, C. Chien
CDMA spread-spectrum systems require PN-acquisition to synchronize the transmitted signal at the receiver. Fast acquisition minimizes the amount of synchronization overhead required in the communication link for maximum system throughput. Yet, the fast acquisition should be done with low energy for portable applications. Conventional acquisition techniques using matched filters or serial correlators alone provide either fast pseudo-noise (PN) acquisition for CDMA or low power dissipation but not both. This paper presents an ASIC which implements a hybrid PN acquisition architecture that achieves both fast acquisition and up to 50% reduction in energy dissipation compared to conventional techniques. This ASIC has been fabricated using 0.5-/spl mu/m CMOS technology with an area of 23 mm. It operates at 20 MHz with a 3.3 V supply and dissipates 50 mW per acquisition, or less than 1.5 mW per 50 byte packet.
CDMA扩频系统需要pn采集来同步接收端发送的信号。快速采集最大限度地减少了通信链路中所需的同步开销,以实现最大的系统吞吐量。然而,对于便携式应用来说,快速采集应该以低能量完成。传统的采集技术使用匹配滤波器或串行相关器单独提供快速伪噪声(PN)采集或低功耗,但不能两者兼得。本文提出了一种实现混合PN采集架构的ASIC,与传统技术相比,该架构实现了快速采集和高达50%的能耗降低。该ASIC采用0.5-/spl μ m CMOS技术制造,面积为23 mm。它的工作频率为20mhz,电源为3.3 V,每次采集功耗为50mw,或每50字节数据包功耗小于1.5 mW。
{"title":"A PN-acquisition ASIC for wireless CDMA systems","authors":"C. Deng, C. Chien","doi":"10.1109/CICC.2000.852710","DOIUrl":"https://doi.org/10.1109/CICC.2000.852710","url":null,"abstract":"CDMA spread-spectrum systems require PN-acquisition to synchronize the transmitted signal at the receiver. Fast acquisition minimizes the amount of synchronization overhead required in the communication link for maximum system throughput. Yet, the fast acquisition should be done with low energy for portable applications. Conventional acquisition techniques using matched filters or serial correlators alone provide either fast pseudo-noise (PN) acquisition for CDMA or low power dissipation but not both. This paper presents an ASIC which implements a hybrid PN acquisition architecture that achieves both fast acquisition and up to 50% reduction in energy dissipation compared to conventional techniques. This ASIC has been fabricated using 0.5-/spl mu/m CMOS technology with an area of 23 mm. It operates at 20 MHz with a 3.3 V supply and dissipates 50 mW per acquisition, or less than 1.5 mW per 50 byte packet.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86771100","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A low-power CMOS super-regenerative receiver at 1 GHz 1 GHz低功耗CMOS超再生接收机
A. Vouilloz, M. Declercq, C. Dehollain
A low-power and low-voltage super-regenerative receiver operating at 1 GHz and implemented in a 0.35 /spl mu/m CMOS process is described. The receiver includes a LNA, a super-regenerative oscillator, an envelope detector, AGC circuitry with sample/hold capability and a baseband amplifier. The die-surface is equal to 0.25 mm/sup 2/. An overall noise figure of 14.7 dB is achieved. The power consumption is less than 1.2 mW at V/sub DD/=1.5 V. A 100 kHz saw tooth quench signal has been used to achieve an interferer rejection of -35.9 dB at 500 kHz from the center frequency.
介绍了一种工作频率为1ghz、以0.35 /spl mu/m CMOS工艺实现的低功耗、低压超再生接收机。该接收器包括一个LNA、一个超再生振荡器、一个包络检测器、具有采样/保持能力的AGC电路和一个基带放大器。模面等于0.25 mm/sup 2/。整体噪音指数为14.7 dB。在V/sub DD/=1.5 V时,功耗小于1.2 mW。使用100 kHz锯齿淬灭信号在中心频率为500 kHz时实现了-35.9 dB的干扰抑制。
{"title":"A low-power CMOS super-regenerative receiver at 1 GHz","authors":"A. Vouilloz, M. Declercq, C. Dehollain","doi":"10.1109/CICC.2000.852641","DOIUrl":"https://doi.org/10.1109/CICC.2000.852641","url":null,"abstract":"A low-power and low-voltage super-regenerative receiver operating at 1 GHz and implemented in a 0.35 /spl mu/m CMOS process is described. The receiver includes a LNA, a super-regenerative oscillator, an envelope detector, AGC circuitry with sample/hold capability and a baseband amplifier. The die-surface is equal to 0.25 mm/sup 2/. An overall noise figure of 14.7 dB is achieved. The power consumption is less than 1.2 mW at V/sub DD/=1.5 V. A 100 kHz saw tooth quench signal has been used to achieve an interferer rejection of -35.9 dB at 500 kHz from the center frequency.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83686170","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 134
A 2.5-Gb/s clock recovery circuit for NRZ data in 0.4-/spl mu/m CMOS technology 采用0.4-/spl mu/m CMOS技术,设计了一种用于NRZ数据的2.5 gb /s时钟恢复电路
S. Anand, B. Razavi
This paper describes a 2.5-Gb/s phase-locked clock recovery circuit utilizing a two-stage ring oscillator and a sample-and-hold phase detector. Fabricated in a 0.4-/spl mu/m digital CMOS technology, the recovered clock exhibits an RMS jitter of 10.8 ps for a PRBS sequence of length 2/sup 7/-1 while dissipating 50 mW of power from a 3.3-V supply.
本文介绍了一种采用两级环形振荡器和采样保持鉴相器的2.5 gb /s锁相时钟恢复电路。在0.4-/spl mu/m数字CMOS技术中制造,恢复时钟在长度为2/sup 7/-1的PRBS序列中显示10.8 ps的RMS抖动,同时从3.3 v电源消耗50 mW的功率。
{"title":"A 2.5-Gb/s clock recovery circuit for NRZ data in 0.4-/spl mu/m CMOS technology","authors":"S. Anand, B. Razavi","doi":"10.1109/CICC.2000.852690","DOIUrl":"https://doi.org/10.1109/CICC.2000.852690","url":null,"abstract":"This paper describes a 2.5-Gb/s phase-locked clock recovery circuit utilizing a two-stage ring oscillator and a sample-and-hold phase detector. Fabricated in a 0.4-/spl mu/m digital CMOS technology, the recovered clock exhibits an RMS jitter of 10.8 ps for a PRBS sequence of length 2/sup 7/-1 while dissipating 50 mW of power from a 3.3-V supply.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86177402","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1