首页 > 最新文献

Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)最新文献

英文 中文
FLOVA: A four-issue VLIW geometry processor with SIMD instructions and lighting acceleration unit FLOVA:一个带有SIMD指令和光照加速单元的四问题VLIW几何处理器
S. Nam, Byoung-Woon Kim, Y. Im, Young-Su Kwon, Jun-Hee Lee, Young-Wook Cheon, Sung-Jae Byun, Dae-Hyun Lee, C. Kyung
This paper describes a VLIW (very long instruction word) geometry processor called FLOVA (FLOating-Point VLIW Architecture) which was developed to accelerate the geometry stage of 3D graphics. FLOVA executes four instructions in one cycle and supports 136 instructions including 35 SIMD (single instruction multiple data) instructions to accelerate the geometry stage. Special features to accelerate transformation and lighting operations in 3D graphics geometry stage are described. FLOVA can calculate the power value of two floating-point numbers in only four clock cycles with a negligible loss of accuracy, compared to over 150 clock cycles in other processors.
本文介绍了一种名为FLOVA (FLOating-Point VLIW Architecture)的VLIW (very long instruction word)几何处理器,它是为加速三维图形的几何阶段而开发的。FLOVA在一个周期内执行4条指令,支持136条指令,其中包括35条SIMD(单指令多数据)指令,以加速几何阶段。描述了在3D图形几何阶段加速转换和照明操作的特殊功能。FLOVA可以在四个时钟周期内计算两个浮点数的功率值,精度损失可以忽略不计,而其他处理器则需要超过150个时钟周期。
{"title":"FLOVA: A four-issue VLIW geometry processor with SIMD instructions and lighting acceleration unit","authors":"S. Nam, Byoung-Woon Kim, Y. Im, Young-Su Kwon, Jun-Hee Lee, Young-Wook Cheon, Sung-Jae Byun, Dae-Hyun Lee, C. Kyung","doi":"10.1109/CICC.2000.852728","DOIUrl":"https://doi.org/10.1109/CICC.2000.852728","url":null,"abstract":"This paper describes a VLIW (very long instruction word) geometry processor called FLOVA (FLOating-Point VLIW Architecture) which was developed to accelerate the geometry stage of 3D graphics. FLOVA executes four instructions in one cycle and supports 136 instructions including 35 SIMD (single instruction multiple data) instructions to accelerate the geometry stage. Special features to accelerate transformation and lighting operations in 3D graphics geometry stage are described. FLOVA can calculate the power value of two floating-point numbers in only four clock cycles with a negligible loss of accuracy, compared to over 150 clock cycles in other processors.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"91087961","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Cypress Delta39K/sup TM/. A memory-rich, high performance, scalable CPLD architecture Cypress Delta39K/sup TM/。一个内存丰富,高性能,可扩展的CPLD架构
A. Kennings, H. Mohammed, J. P. Skudlarek, Binghe Tian
The architecture of the Cypress Delta39K CPLD family is described, including: (i) the hierarchical organization; (ii) the novel single source, dedicated track MUX-based routing architecture; and (iii) the large quantity of on-chip specialty memory. Other essential elements including macrocells, I/O cells and PLL functions are described. Finally, we illustrate the speed with which logic can be fitted into a representative device using the Warp/sup TM/ 6.0 software.
介绍了Cypress Delta39K CPLD系列的体系结构,包括:(i)分层结构;(ii)新颖的单源、专用轨道基于mux的路由架构;(三)大量的片上专用存储器。描述了包括宏单元、I/O单元和PLL函数在内的其他基本元素。最后,我们说明了使用Warp/sup TM/ 6.0软件可以将逻辑安装到代表性设备中的速度。
{"title":"Cypress Delta39K/sup TM/. A memory-rich, high performance, scalable CPLD architecture","authors":"A. Kennings, H. Mohammed, J. P. Skudlarek, Binghe Tian","doi":"10.1109/CICC.2000.852634","DOIUrl":"https://doi.org/10.1109/CICC.2000.852634","url":null,"abstract":"The architecture of the Cypress Delta39K CPLD family is described, including: (i) the hierarchical organization; (ii) the novel single source, dedicated track MUX-based routing architecture; and (iii) the large quantity of on-chip specialty memory. Other essential elements including macrocells, I/O cells and PLL functions are described. Finally, we illustrate the speed with which logic can be fitted into a representative device using the Warp/sup TM/ 6.0 software.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84188183","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 1.2 V, 433 MHz, 10 dBm, 38% global efficiency FSK transmitter integrated in a standard digital CMOS process 一个1.2 V, 433 MHz, 10 dBm, 38%全局效率的FSK发射机集成在一个标准的数字CMOS工艺
T. Melly, Alain-Serge Porret, C. Enz, E. Vittoz
This paper describes the design of an FSK transmitter for the 433 MHz ISM (Industrial, Scientific, Medical) band, which is realized in a standard digital 0.5 /spl mu/m CMOS technology. It includes the PA itself, an upconverter, and the circuit generating the baseband quadrature signals with a continuous phase modulation. The overall measured efficiency of the packaged circuit is higher than 38% for a 1.2 V supply and an output power reaching 10 dBm at 433 MHz.
本文介绍了一种用于433mhz ISM(工业、科学、医疗)频段的FSK发射机的设计,该发射机采用标准的数字0.5 /spl mu/m CMOS技术实现。它包括PA本身、上变频器和产生基带正交信号的连续相位调制电路。当电源为1.2 V,输出功率为10dbm,工作频率为433mhz时,封装电路的整体测量效率高于38%。
{"title":"A 1.2 V, 433 MHz, 10 dBm, 38% global efficiency FSK transmitter integrated in a standard digital CMOS process","authors":"T. Melly, Alain-Serge Porret, C. Enz, E. Vittoz","doi":"10.1109/CICC.2000.852644","DOIUrl":"https://doi.org/10.1109/CICC.2000.852644","url":null,"abstract":"This paper describes the design of an FSK transmitter for the 433 MHz ISM (Industrial, Scientific, Medical) band, which is realized in a standard digital 0.5 /spl mu/m CMOS technology. It includes the PA itself, an upconverter, and the circuit generating the baseband quadrature signals with a continuous phase modulation. The overall measured efficiency of the packaged circuit is higher than 38% for a 1.2 V supply and an output power reaching 10 dBm at 433 MHz.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88368295","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
A 300 K-gate 0.5 /spl mu/m CMOS implementation of an 8-VSB receiver IC [for HDTV] 300 k门0.5 /spl mu/m CMOS实现的8-VSB接收机IC[用于高清电视]
Ealwan Lee, Dongkyun Kim, Seokjun Lee, K. Kwon, Jongdae Kim, In-Cheol Kim, Yongho Kim, Sungju Park, Cheongon Kim, Haeryun Jung, Gyu-Hwan Chang
This paper presents an integrated 8-VSB receiver IC which demodulates and decodes the ATSC-compliant terrestrial RF transmission signal. The design has been accomplished in an ASIC-vendor independent way using only HDL description and synthesis tools. It can receive any IF signal of 5.38 MHz or 44 MHz. The chip has been implemented with equivalent 300 k gates comprising 200 k logic parts and 100 k gate-equivalent memory parts in an area of 8.0/spl times/7.7 mm/sup 2/. The chip is operative at 50 MHz and consumes approximately 3.2 W under 5 volts in a commercial operating condition.
本文设计了一种集成的8-VSB接收芯片,用于对atsc标准的地面射频发射信号进行解调和解码。该设计以独立于asic厂商的方式完成,仅使用HDL描述和合成工具。它可以接收任何5.38 MHz或44 MHz的中频信号。该芯片已经实现了等效300 k门,包括200 k逻辑部件和100 k门等效存储器部件,面积为8.0/spl倍/7.7 mm/sup 2/。该芯片的工作频率为50 MHz,在商业工作条件下,功耗约为3.2 W,电压为5伏。
{"title":"A 300 K-gate 0.5 /spl mu/m CMOS implementation of an 8-VSB receiver IC [for HDTV]","authors":"Ealwan Lee, Dongkyun Kim, Seokjun Lee, K. Kwon, Jongdae Kim, In-Cheol Kim, Yongho Kim, Sungju Park, Cheongon Kim, Haeryun Jung, Gyu-Hwan Chang","doi":"10.1109/CICC.2000.852656","DOIUrl":"https://doi.org/10.1109/CICC.2000.852656","url":null,"abstract":"This paper presents an integrated 8-VSB receiver IC which demodulates and decodes the ATSC-compliant terrestrial RF transmission signal. The design has been accomplished in an ASIC-vendor independent way using only HDL description and synthesis tools. It can receive any IF signal of 5.38 MHz or 44 MHz. The chip has been implemented with equivalent 300 k gates comprising 200 k logic parts and 100 k gate-equivalent memory parts in an area of 8.0/spl times/7.7 mm/sup 2/. The chip is operative at 50 MHz and consumes approximately 3.2 W under 5 volts in a commercial operating condition.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87064634","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
SRAM embedded memory with low cost, flash EEPROM-switch-controlled redundancy SRAM嵌入式存储器,低成本,闪存eeprom开关控制冗余
R. McPartland, D. Loeper, F. Higgins, Raj Singh, G. MacDonald, G. Komoriya, S. Aymeloglu, M. DePaolis, C. Leung
This paper describes the use of low cost, flash EEPROM switches to control redundancy in SRAM embedded memories. Flash cell design, operation and process technology are described. A 768K-bit embedded SRAM memory with flash controlled column redundancy and built in self-repair is presented.
本文介绍了使用低成本的闪存EEPROM开关来控制SRAM嵌入式存储器中的冗余。介绍了闪蒸池的设计、运行和工艺技术。提出了一种768k位嵌入式SRAM存储器,具有flash控制列冗余和内置自修复功能。
{"title":"SRAM embedded memory with low cost, flash EEPROM-switch-controlled redundancy","authors":"R. McPartland, D. Loeper, F. Higgins, Raj Singh, G. MacDonald, G. Komoriya, S. Aymeloglu, M. DePaolis, C. Leung","doi":"10.1109/CICC.2000.852668","DOIUrl":"https://doi.org/10.1109/CICC.2000.852668","url":null,"abstract":"This paper describes the use of low cost, flash EEPROM switches to control redundancy in SRAM embedded memories. Flash cell design, operation and process technology are described. A 768K-bit embedded SRAM memory with flash controlled column redundancy and built in self-repair is presented.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86321630","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 13
CMOS in the new millennium 新千年的CMOS
T. Ning
The VLSI industry is accelerating towards the end of scaling (bulk) CMOS. Near its scaling limit, a CMOS transistor could have a channel length of about 25 nm, a switching speed about three times as fast as a device of 100-nm channel length, and an f/sub T/ of about 250 GHz. However realization of this CMOS technology is far from certain due to the many technical difficulties that must be overcome. In the next few years, while the application of CMOS to RF will grow rapidly, performance of digital CMOS will saturate. While development towards 25-nm channel length will continue, CMOS development will also be focused on opportunities beyond scaling the bulk device.
超大规模集成电路行业正在加速走向CMOS的规模化(批量)终结。接近其缩放极限时,CMOS晶体管的通道长度约为25纳米,开关速度约为100纳米通道长度器件的三倍,f/sub /约为250 GHz。然而,由于必须克服许多技术困难,这种CMOS技术的实现还远未确定。在未来几年,CMOS在射频领域的应用将快速增长,而数字CMOS的性能将趋于饱和。在继续向25nm通道长度发展的同时,CMOS的发展也将专注于扩展批量器件以外的机会。
{"title":"CMOS in the new millennium","authors":"T. Ning","doi":"10.1109/CICC.2000.852616","DOIUrl":"https://doi.org/10.1109/CICC.2000.852616","url":null,"abstract":"The VLSI industry is accelerating towards the end of scaling (bulk) CMOS. Near its scaling limit, a CMOS transistor could have a channel length of about 25 nm, a switching speed about three times as fast as a device of 100-nm channel length, and an f/sub T/ of about 250 GHz. However realization of this CMOS technology is far from certain due to the many technical difficulties that must be overcome. In the next few years, while the application of CMOS to RF will grow rapidly, performance of digital CMOS will saturate. While development towards 25-nm channel length will continue, CMOS development will also be focused on opportunities beyond scaling the bulk device.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86354273","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
A versatile low-power power line FSK transceiver 多功能低功率电力线FSK收发器
R. Cappelletti, A. Baschirotto
A versatile low-power half-duplex FSK transceiver for power line communication network applications is presented. The proposed power-line modem (PLM) satisfies the requirement of several protocols for both power-line communications and home automation applications. The device operation is fully controlled and programmed through an internal 24 bit register. It operates from a single 9 V supply (5 V is possible if no power delivery is required). During transmission, the PLM is able to deliver 1 W on 16 /spl Omega/, while during reception if dissipates only 3.5 mA. The PLM is realized in a 0.6 /spl mu/m BCD technology.
介绍了一种适用于电力线通信网络的通用低功耗半双工FSK收发器。提出的电力线调制解调器(PLM)满足电力线通信和家庭自动化应用中多种协议的要求。设备操作完全通过内部24位寄存器控制和编程。它从一个单一的9v电源(5v是可能的,如果不需要供电)。在传输过程中,PLM能够在16 /spl ω /下输出1w,而在接收过程中仅耗散3.5 mA。PLM以0.6 /spl mu/m的BCD技术实现。
{"title":"A versatile low-power power line FSK transceiver","authors":"R. Cappelletti, A. Baschirotto","doi":"10.1109/CICC.2000.852677","DOIUrl":"https://doi.org/10.1109/CICC.2000.852677","url":null,"abstract":"A versatile low-power half-duplex FSK transceiver for power line communication network applications is presented. The proposed power-line modem (PLM) satisfies the requirement of several protocols for both power-line communications and home automation applications. The device operation is fully controlled and programmed through an internal 24 bit register. It operates from a single 9 V supply (5 V is possible if no power delivery is required). During transmission, the PLM is able to deliver 1 W on 16 /spl Omega/, while during reception if dissipates only 3.5 mA. The PLM is realized in a 0.6 /spl mu/m BCD technology.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83601014","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
High-performance flexible all-digital quadrature up and down converter chip 高性能柔性全数字正交上下转换芯片
R. Pasko, L. Rijnders, P. Schaumont, S. Vernalde, D. Durackova
In this paper, the design of an all-digital quadrature up and down converter with high accuracy and flexible IF settings is presented. The signal up/downconversion is achieved by interpolation/decimation combined with a programmable anti-alias filter preserving the selected frequency band during the sample rate conversion. This way a high-speed solution with low-power consumption is achieved. We used a novel technique to implement flexible IF settings. The resulting structure is capable of handling signals up to 160 MSPS and is suitable for coaxial access network modem applications.
本文介绍了一种高精度、灵活中频设置的全数字正交上下变换器的设计。信号的上/下转换是通过插值/抽取与可编程抗混叠滤波器相结合来实现的,在采样率转换期间保持所选频带。通过这种方式,实现了低功耗的高速解决方案。我们使用了一种新颖的技术来实现灵活的中频设置。所得到的结构能够处理高达160 MSPS的信号,适合于同轴接入网调制解调器应用。
{"title":"High-performance flexible all-digital quadrature up and down converter chip","authors":"R. Pasko, L. Rijnders, P. Schaumont, S. Vernalde, D. Durackova","doi":"10.1109/CICC.2000.852615","DOIUrl":"https://doi.org/10.1109/CICC.2000.852615","url":null,"abstract":"In this paper, the design of an all-digital quadrature up and down converter with high accuracy and flexible IF settings is presented. The signal up/downconversion is achieved by interpolation/decimation combined with a programmable anti-alias filter preserving the selected frequency band during the sample rate conversion. This way a high-speed solution with low-power consumption is achieved. We used a novel technique to implement flexible IF settings. The resulting structure is capable of handling signals up to 160 MSPS and is suitable for coaxial access network modem applications.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89487730","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 13
Low power bus coding techniques considering inter-wire capacitances 考虑线间电容的低功耗总线编码技术
P. Sotiriadis, A. Chandrakasan
The power dissipation associated with driving data buses can be significant, especially considering the increasing component of inter-wire capacitance. Previous work on bus encoding has focused on minimizing transitions to reduce power dissipation. In this paper, it is shown that transition reduction is not necessarily the best approach for reducing power when the effects of inter-wire capacitance are considered. An electrical model for data buses designed with submicron technologies is presented and a family of coding techniques is proposed that can reduce the average power consumption of the bus by 40%.
与驱动数据总线相关的功耗可能是显著的,特别是考虑到线间电容的增加成分。以前在总线编码方面的工作主要集中在最小化转换以降低功耗。本文表明,当考虑线间电容的影响时,减小过渡不一定是减小功率的最佳方法。提出了一种采用亚微米技术设计的数据总线电气模型,并提出了一系列编码技术,可将总线的平均功耗降低40%。
{"title":"Low power bus coding techniques considering inter-wire capacitances","authors":"P. Sotiriadis, A. Chandrakasan","doi":"10.1109/CICC.2000.852719","DOIUrl":"https://doi.org/10.1109/CICC.2000.852719","url":null,"abstract":"The power dissipation associated with driving data buses can be significant, especially considering the increasing component of inter-wire capacitance. Previous work on bus encoding has focused on minimizing transitions to reduce power dissipation. In this paper, it is shown that transition reduction is not necessarily the best approach for reducing power when the effects of inter-wire capacitance are considered. An electrical model for data buses designed with submicron technologies is presented and a family of coding techniques is proposed that can reduce the average power consumption of the bus by 40%.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78597585","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 156
A 4-tap 125-MHz mixed-signal echo canceller for Gigabit Ethernet on copper wire 4分路125兆赫混合信号回波消除器,用于千兆以太网铜线
Tai-Cheng Lee, B. Razavi
A discrete-time analog echo canceller is described that reduces the echo in the front end of Gigabit Ethernet twisted-pair interfaces. Echo cancellation in the analog domain by means of four taps reduces the complexity of the digital echo canceller and crosstalk cancellers by 50 taps. Designed in a 0.4 /spl mu/m CMOS technology, the circuit employs an LMS algorithm to adapt to the cable length and impedance discontinuities, providing an echo suppression of 10 dB. The design operates at 125 MHz while consuming 43 mW from a 3 V supply.
介绍了一种离散时间模拟回波消除器,用于减少千兆以太网双绞线接口前端的回波。模拟域的四分频回声消除使数字回声消除器和串扰消除器的复杂度降低了50分频。该电路采用0.4 /spl mu/m CMOS技术,采用LMS算法来适应电缆长度和阻抗不连续,提供10 dB的回波抑制。该设计工作在125 MHz,同时从3 V电源消耗43 mW。
{"title":"A 4-tap 125-MHz mixed-signal echo canceller for Gigabit Ethernet on copper wire","authors":"Tai-Cheng Lee, B. Razavi","doi":"10.1109/CICC.2000.852708","DOIUrl":"https://doi.org/10.1109/CICC.2000.852708","url":null,"abstract":"A discrete-time analog echo canceller is described that reduces the echo in the front end of Gigabit Ethernet twisted-pair interfaces. Echo cancellation in the analog domain by means of four taps reduces the complexity of the digital echo canceller and crosstalk cancellers by 50 taps. Designed in a 0.4 /spl mu/m CMOS technology, the circuit employs an LMS algorithm to adapt to the cable length and impedance discontinuities, providing an echo suppression of 10 dB. The design operates at 125 MHz while consuming 43 mW from a 3 V supply.","PeriodicalId":20702,"journal":{"name":"Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-05-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76594278","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
期刊
Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1