首页 > 最新文献

2019 International Conference on Electronics Packaging (ICEP)最新文献

英文 中文
Influence of Grain Refinement on Direct Bonding for Electrodeposited Copper 晶粒细化对电沉积铜直接结合的影响
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733455
Zong-Yu Xie, I-You Yu, Jenn-Ming Song, D. Tarng, C. Hung
This study aims to investigate microstructural effect on direct Cu bonding. Electro-deposited Cu samples with different grain sizes, preferred orientations as well as hardnesses were prepared. The influence of individual factors will be studied especially grain size. Experimental results show that through grain refinement the strength of directly-bonded electroplated copper joints can be effectively increased by 30%.
本研究旨在探讨微观结构对铜直接键合的影响。制备了不同晶粒尺寸、择优取向和硬度的电沉积铜样品。我们将研究各个因素的影响,特别是粒度的影响。实验结果表明,通过细化晶粒,可有效提高直连镀铜接头强度30%。
{"title":"Influence of Grain Refinement on Direct Bonding for Electrodeposited Copper","authors":"Zong-Yu Xie, I-You Yu, Jenn-Ming Song, D. Tarng, C. Hung","doi":"10.23919/ICEP.2019.8733455","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733455","url":null,"abstract":"This study aims to investigate microstructural effect on direct Cu bonding. Electro-deposited Cu samples with different grain sizes, preferred orientations as well as hardnesses were prepared. The influence of individual factors will be studied especially grain size. Experimental results show that through grain refinement the strength of directly-bonded electroplated copper joints can be effectively increased by 30%.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130804674","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Microstructural and Electrical Characteristics of Sintered Ag Interconnections through Different Reduction Methods 不同还原方法烧结银互连的显微组织和电学特性
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733413
Jen-Hsiang Liu, Yan-Jie Li, Jenn-Ming Song
Taking the advantage of low sintering temperature and high processing flexibility, Ag nanoparticles have been widely used to fabricate interconnections and joints. This report studies the preferred orientation of the sintered nanoparticles and electrical resistivity subjected to thermal or/and chemical reductions using grazing incidence XRD and EBSD. Submicron-sized Ag powders prepared by thermal spray pyrolysis are also investigated for comparison. Compared to chemical reduction which develops (111) out-of-plane texture, thermal sintering of nanoparticles tends to form (100)-oriented grains and more twin boundaries. A decrease in sintered film thickness further intensifies (111) texture in the case of chemical sintering. A proportional relationship between electrical conductance and twin boundary ratio was also proposed.
银纳米颗粒具有烧结温度低、加工灵活性高等优点,已广泛应用于制造互连和接头。本报告使用掠射XRD和EBSD研究了烧结纳米颗粒的首选取向和热还原或/和化学还原的电阻率。对热喷雾热解法制备的亚微米级银粉进行了比较研究。与化学还原形成(111)面外织构相比,热烧结纳米颗粒倾向于形成(100)取向晶粒和更多的孪晶界。在化学烧结的情况下,烧结膜厚度的减小进一步增强了(111)织构。提出了电导率与双边界比之间的比例关系。
{"title":"Microstructural and Electrical Characteristics of Sintered Ag Interconnections through Different Reduction Methods","authors":"Jen-Hsiang Liu, Yan-Jie Li, Jenn-Ming Song","doi":"10.23919/ICEP.2019.8733413","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733413","url":null,"abstract":"Taking the advantage of low sintering temperature and high processing flexibility, Ag nanoparticles have been widely used to fabricate interconnections and joints. This report studies the preferred orientation of the sintered nanoparticles and electrical resistivity subjected to thermal or/and chemical reductions using grazing incidence XRD and EBSD. Submicron-sized Ag powders prepared by thermal spray pyrolysis are also investigated for comparison. Compared to chemical reduction which develops (111) out-of-plane texture, thermal sintering of nanoparticles tends to form (100)-oriented grains and more twin boundaries. A decrease in sintered film thickness further intensifies (111) texture in the case of chemical sintering. A proportional relationship between electrical conductance and twin boundary ratio was also proposed.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122305967","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
ICEP 2019 Organizing Committee ICEP 2019组委会
Pub Date : 2019-04-01 DOI: 10.23919/icep.2019.8733442
{"title":"ICEP 2019 Organizing Committee","authors":"","doi":"10.23919/icep.2019.8733442","DOIUrl":"https://doi.org/10.23919/icep.2019.8733442","url":null,"abstract":"","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126873303","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Self-Healing Metal Interconnect for Flexible Electronic Device 柔性电子器件的自修复金属互连
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733474
T. Koshi, E. Iwase
We developed a self-healing metal interconnect and applied it to a flexible electronic device. The self-healing metal interconnect regains its conductivity again even if the interconnect is broken by stretching deformation. For the selfhealing, dielectrophoresis of metal nanoparticles is used. In this paper, design, fabrication, and evaluation of a flexible electronic device using self-healing metal interconnects are described. The device was composed of self-healing metal interconnects and surface-mounted light emitting diode (LED) chips. We confirmed a decrease of impedance of the device and re-emitting of LED chips by self-healing of the broken interconnect.
我们开发了一种自我修复的金属互连,并将其应用于柔性电子设备。自我修复的金属互连体即使因拉伸变形而断裂,也能再次恢复其导电性。为了实现自修复,采用了金属纳米颗粒的介电电泳。本文描述了一种使用自愈金属互连的柔性电子器件的设计、制造和评估。该器件由自修复金属互连和表面安装的发光二极管(LED)芯片组成。我们证实了器件阻抗的降低和LED芯片通过断开的互连自愈而重新发射。
{"title":"Self-Healing Metal Interconnect for Flexible Electronic Device","authors":"T. Koshi, E. Iwase","doi":"10.23919/ICEP.2019.8733474","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733474","url":null,"abstract":"We developed a self-healing metal interconnect and applied it to a flexible electronic device. The self-healing metal interconnect regains its conductivity again even if the interconnect is broken by stretching deformation. For the selfhealing, dielectrophoresis of metal nanoparticles is used. In this paper, design, fabrication, and evaluation of a flexible electronic device using self-healing metal interconnects are described. The device was composed of self-healing metal interconnects and surface-mounted light emitting diode (LED) chips. We confirmed a decrease of impedance of the device and re-emitting of LED chips by self-healing of the broken interconnect.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127910931","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Surface-modification technology by using Radical Shower Treatment (RST) process in submicron interposer for Fan-out packaging applications. 在扇形封装应用的亚微米中间层中,采用激进淋浴处理(RST)工艺的表面改性技术。
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733523
T. Murayama, T. Sakuishi, Y. Morikawa
In recent years, discussion on power consumption and latency of GPU used for AI application has started. In order to realize further high-speed processing and low power consumption of the GPU processing a huge amount of data, it is necessary to consider the packaging structure of the GPU [1]. The current GPU package structure is based on the package substrate using flip chip PoP (Package on Package) technology and Si interposer. In this structure applied, the wiring distance is increased due to the structural restriction of signal transmission through the Si interposer on the package substrate, which is the cause of the increase in power consumption and latency. Therefore, the packaging structure around the Si interposer has been focused, and expected structures that does not use the Si interposer have been proposed [2]. A method of directly forming fine wiring layers which plays a role of RDL (Redistributed Layer) by using a photosensitive insulation material on a build-up substrate without using a Si interposer has been reported [3]. Furthermore, in view of the high frequency trend of the signal frequency, the development of glass-epoxy materials having low Df (dielectric loss constant) and low Dk (dielectric constant) material properties as a build-up film is proceeding [4]. It is expected that it will be a more effective method to effectively utilize the characteristics of low Df and low Dk and to form fine wiring on the build-up layer using semiconductor fine wiring technology. For future high density packaging, plasma dry etching technology aiming fabrication of multilayer wiring on build-up film has been developed [5].In this paper, the results of microfabrication of build-up thickness of 5 μm are reported for the purpose of fabricating fine wiring on build-up film using dry process. This technology has been developed as one of new SiP (System in Package) technologies for realizing future heterogeneous integration. The process results of dry etching and Cu electroplating are described. In order to adapt to chip mounting, the size of the wiring formed in the build-up layer is targeted at line / space = 2 μm / 2 μm. The reason for using Si substrate instead of mold panel is because it is suitable for use of expensive NGD (known good die). In Si semiconductor packaging, very stable technology corresponding to Si substrate of 300 mm size has been established up to today. And, for Cu fine wiring formation on a build-up film using a dry process, it is also necessary to ensure sufficient adhesion between the Cu seed layer and the build-up film. In order to manufacture highly reliable fine Cu wiring, it is necessary to evaluate the controllability of good adhesion of the seed Cu layer / glass epoxy film interface. Fluorine compound gas is used for dry etching of build-up film. There are residues containing fluorine on the surface to be etched. These residual fluorine compounds reduce the adhesion between the build-up film and the seed layer for Cu
近年来,关于人工智能应用中GPU的功耗和延迟的讨论已经开始。为了进一步实现GPU在处理海量数据时的高速处理和低功耗,需要考虑GPU[1]的封装结构。目前的GPU封装结构是基于使用倒装芯片PoP (package on package)技术和Si中间层的封装基板。在这种应用的结构中,由于信号通过封装基板上的Si中间层传输的结构限制,导致布线距离增加,这是导致功耗和延迟增加的原因。因此,围绕硅中间层的封装结构已经得到了关注,并且已经提出了不使用硅中间层的预期结构。已经报道了一种直接形成精细布线层的方法,该方法通过在堆积衬底上使用光敏绝缘材料而不使用Si中间体来发挥RDL(再分布层)的作用。此外,鉴于信号频率的高频化趋势,具有低Df(介电损耗常数)和低Dk(介电常数)材料性能的玻璃环氧树脂材料作为沉积膜的开发正在进行中。利用低Df和低Dk的特性,利用半导体精细布线技术在构筑层上形成精细布线,有望成为一种更为有效的方法。针对未来高密度封装的发展,针对积层膜上多层布线的等离子体干蚀刻技术得到了发展。本文报道了用干法在堆积膜上制备细线的5 μm堆积厚度微加工的结果。该技术已发展成为实现未来异构集成的新型SiP (System in Package)技术之一。介绍了干法蚀刻和镀铜的工艺结果。为了适应芯片安装,在堆积层中形成的布线尺寸目标为线/空间= 2 μm / 2 μm。使用Si衬底代替模具面板的原因是它适合使用昂贵的NGD(已知的好模具)。在硅半导体封装中,迄今为止已经建立了非常稳定的300mm尺寸硅衬底对应的技术。此外,对于使用干法在堆积膜上形成铜细线,还需要确保Cu种子层与堆积膜之间有足够的附着力。为了制造高可靠性的细铜布线,有必要评估种子铜层/玻璃环氧膜界面良好附着力的可控性。氟化合物气用于干式蚀刻堆积膜。待蚀刻表面有含氟残留物。这些残留的氟化合物降低了镀铜的堆积膜和种子层之间的附着力。因此,有必要构建一种干燥工艺方法,通过消除残留氟化合物的影响来提高对种子层的附着力。将溅射过程前表面自由能的变化与Cu种子层剥离试验结果进行了比较。报道了构筑膜表面状况和种子膜附着力的基本研究结果。
{"title":"Surface-modification technology by using Radical Shower Treatment (RST) process in submicron interposer for Fan-out packaging applications.","authors":"T. Murayama, T. Sakuishi, Y. Morikawa","doi":"10.23919/ICEP.2019.8733523","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733523","url":null,"abstract":"In recent years, discussion on power consumption and latency of GPU used for AI application has started. In order to realize further high-speed processing and low power consumption of the GPU processing a huge amount of data, it is necessary to consider the packaging structure of the GPU [1]. The current GPU package structure is based on the package substrate using flip chip PoP (Package on Package) technology and Si interposer. In this structure applied, the wiring distance is increased due to the structural restriction of signal transmission through the Si interposer on the package substrate, which is the cause of the increase in power consumption and latency. Therefore, the packaging structure around the Si interposer has been focused, and expected structures that does not use the Si interposer have been proposed [2]. A method of directly forming fine wiring layers which plays a role of RDL (Redistributed Layer) by using a photosensitive insulation material on a build-up substrate without using a Si interposer has been reported [3]. Furthermore, in view of the high frequency trend of the signal frequency, the development of glass-epoxy materials having low Df (dielectric loss constant) and low Dk (dielectric constant) material properties as a build-up film is proceeding [4]. It is expected that it will be a more effective method to effectively utilize the characteristics of low Df and low Dk and to form fine wiring on the build-up layer using semiconductor fine wiring technology. For future high density packaging, plasma dry etching technology aiming fabrication of multilayer wiring on build-up film has been developed [5].In this paper, the results of microfabrication of build-up thickness of 5 μm are reported for the purpose of fabricating fine wiring on build-up film using dry process. This technology has been developed as one of new SiP (System in Package) technologies for realizing future heterogeneous integration. The process results of dry etching and Cu electroplating are described. In order to adapt to chip mounting, the size of the wiring formed in the build-up layer is targeted at line / space = 2 μm / 2 μm. The reason for using Si substrate instead of mold panel is because it is suitable for use of expensive NGD (known good die). In Si semiconductor packaging, very stable technology corresponding to Si substrate of 300 mm size has been established up to today. And, for Cu fine wiring formation on a build-up film using a dry process, it is also necessary to ensure sufficient adhesion between the Cu seed layer and the build-up film. In order to manufacture highly reliable fine Cu wiring, it is necessary to evaluate the controllability of good adhesion of the seed Cu layer / glass epoxy film interface. Fluorine compound gas is used for dry etching of build-up film. There are residues containing fluorine on the surface to be etched. These residual fluorine compounds reduce the adhesion between the build-up film and the seed layer for Cu","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129451243","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
X-ray radiolysis-based three dimensional additive manufacturing process 基于x射线放射溶解的三维增材制造工艺
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733581
S. Saegusa, I. Sakurai, I. Okada, T. Fukuoka, S. Suzuki, Y. Utsumi, A. Yamaguchi
To achieve the three dimensional additive manufacturing process, we investigated X-ray radiolysis-induced chemical reaction of Cu(CH3COO)2 solution. Here, we demonstrated synthesis and immobilization of cupric oxide particles onto a silicon or aluminium substrate using X-ray radiolysis directly from a liquid solution. The X-ray radiolysis of Cu(CH3COO)2 solutions was observed to produce curious shaped microstructures consisting of cupric oxide (CuO, Cu2O, Cu4O3) particles and Cu particles. The sizes of the particles depended on the additive type of alcohol. The results indicate that there are several routes and reaction processes for these particles and aggregation to be synthesized. In addition, we demonstrated the synthesis of these particles using X-ray radiolysis cell combined with a solution flow system. The developed technique of X-ray radiolysis enables us to achieve the rapid and easy synthesis of higher-order structures consisting of cupric oxide and copper particles onto the desired area.
为了实现三维增材制造工艺,我们研究了x射线溶解诱导Cu(CH3COO)2溶液的化学反应。在这里,我们演示了利用x射线辐射溶解直接从液体溶液中合成和固定氧化铜颗粒到硅或铝衬底上。对Cu(CH3COO)2溶液进行x射线辐射分解,观察到由氧化铜(CuO, Cu2O, Cu4O3)颗粒和Cu颗粒组成的奇特形状的微观结构。颗粒的大小取决于乙醇的添加剂类型。结果表明,合成这些颗粒和聚合体有多种途径和反应过程。此外,我们还演示了使用x射线辐射分解细胞结合溶液流系统合成这些颗粒的方法。开发的x射线辐射分解技术使我们能够在所需区域上快速简便地合成由氧化铜和铜颗粒组成的高阶结构。
{"title":"X-ray radiolysis-based three dimensional additive manufacturing process","authors":"S. Saegusa, I. Sakurai, I. Okada, T. Fukuoka, S. Suzuki, Y. Utsumi, A. Yamaguchi","doi":"10.23919/ICEP.2019.8733581","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733581","url":null,"abstract":"To achieve the three dimensional additive manufacturing process, we investigated X-ray radiolysis-induced chemical reaction of Cu(CH3COO)2 solution. Here, we demonstrated synthesis and immobilization of cupric oxide particles onto a silicon or aluminium substrate using X-ray radiolysis directly from a liquid solution. The X-ray radiolysis of Cu(CH3COO)2 solutions was observed to produce curious shaped microstructures consisting of cupric oxide (CuO, Cu2O, Cu4O3) particles and Cu particles. The sizes of the particles depended on the additive type of alcohol. The results indicate that there are several routes and reaction processes for these particles and aggregation to be synthesized. In addition, we demonstrated the synthesis of these particles using X-ray radiolysis cell combined with a solution flow system. The developed technique of X-ray radiolysis enables us to achieve the rapid and easy synthesis of higher-order structures consisting of cupric oxide and copper particles onto the desired area.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131679842","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Investigation of mechanism of corrosion resistance of Pd coated Cu wire joint by pseudo process 伪工艺镀钯铜丝接头耐腐蚀机理研究
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733527
S. Nemoto, Takehiko Maeda, Masahiro Miyajima, Yasuhiko Akaike, K. Kitagawa, Hideki Ishii, H. Shimamoto, K. Kikuchi
Palladium (Pd) has played a big role to improve the corrosion resistance of copper (Cu) wire. To examine the corrosion resistance of Cu wire, the Cu/Al interface obtained by sputtering [1] was evaluated. In particular, the effect of Pd on Cu wire joints was investigated by preparing pseudo Palladium Coated Copper (PCC) samples and varying the Pd concentration. In this paper, pseudo PCC wire joint samples were evaluated for corrosion resistance and the results compared with those from actual Cu and PCC wire joint samples.
钯(Pd)对提高铜线的耐蚀性起着重要作用。为了检验铜丝的耐蚀性,对溅射[1]得到的Cu/Al界面进行了评价。特别地,通过制备伪钯包覆铜(PCC)样品和改变Pd浓度,研究了Pd对铜丝接头的影响。本文对伪PCC焊丝接头样品进行了耐蚀性评价,并与实际的Cu和PCC焊丝接头样品进行了对比。
{"title":"Investigation of mechanism of corrosion resistance of Pd coated Cu wire joint by pseudo process","authors":"S. Nemoto, Takehiko Maeda, Masahiro Miyajima, Yasuhiko Akaike, K. Kitagawa, Hideki Ishii, H. Shimamoto, K. Kikuchi","doi":"10.23919/ICEP.2019.8733527","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733527","url":null,"abstract":"Palladium (Pd) has played a big role to improve the corrosion resistance of copper (Cu) wire. To examine the corrosion resistance of Cu wire, the Cu/Al interface obtained by sputtering [1] was evaluated. In particular, the effect of Pd on Cu wire joints was investigated by preparing pseudo Palladium Coated Copper (PCC) samples and varying the Pd concentration. In this paper, pseudo PCC wire joint samples were evaluated for corrosion resistance and the results compared with those from actual Cu and PCC wire joint samples.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134053600","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Interconnect Fabrication using Copper Oxide Particles by Photonic-sintering 利用氧化铜粒子光子烧结制备互连
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733454
Po-Hsiang Chiu, Jenn-Ming Song
Hybrid pastes containing copper oxide particles with nano-(NPO) and submicron sizes (SMPO) were successfully developed for conductor fabrication through photonic sintering. Subjected to 3 or 4 flash pulses, copper particle pastes can be transformed into conductive sintered structure. A optimal SMPO:NPO ratio of 3:1 to obtain low electrical resistivity was suggested. The additions of copper formate can further reduce the resistivity down to 64.6±5.7μΩ•cm. FTIR spectra indicate that copper formate is easier to dissociate by flash irradiation compared to cupric sulfate and cupric chloride. One of the salt dissociation products, metallic copper, contributes to better electrical conductance
采用光子烧结技术制备了纳米级和亚微米级氧化铜杂化浆料。在3 ~ 4次闪光脉冲作用下,铜颗粒糊状物可转化为导电烧结结构。建议SMPO:NPO的最佳比例为3:1,以获得低电阻率。甲酸铜的加入可进一步降低电阻率至64.6±5.7μΩ•cm。FTIR光谱表明,与硫酸铜和氯化铜相比,闪光辐照更容易使甲酸铜解离。盐的解离产物之一,金属铜,有助于更好的导电性
{"title":"Interconnect Fabrication using Copper Oxide Particles by Photonic-sintering","authors":"Po-Hsiang Chiu, Jenn-Ming Song","doi":"10.23919/ICEP.2019.8733454","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733454","url":null,"abstract":"Hybrid pastes containing copper oxide particles with nano-(NPO) and submicron sizes (SMPO) were successfully developed for conductor fabrication through photonic sintering. Subjected to 3 or 4 flash pulses, copper particle pastes can be transformed into conductive sintered structure. A optimal SMPO:NPO ratio of 3:1 to obtain low electrical resistivity was suggested. The additions of copper formate can further reduce the resistivity down to 64.6±5.7μΩ•cm. FTIR spectra indicate that copper formate is easier to dissociate by flash irradiation compared to cupric sulfate and cupric chloride. One of the salt dissociation products, metallic copper, contributes to better electrical conductance","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127796742","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Room temperature bonding of smooth Au surface of electroformed Cu substrate in atmospheric air 常温下电铸铜基板光滑金表面的键合
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733497
T. Matsumae, Michitaka Yamamoto, Y. Kurashima, E. Higurashi, H. Takagi
A Cu-based heat spreader with smooth Au bonding film was fabricated by electroforming for room temperature bonding with electronic device in atmospheric air. The Cu substrates were electroformed using Au/Cu (bottom to top) and Au/Ta/Cu seed layers deposited onto smooth thermally-oxidized Si wafers; next, they were exfoliated at the Au/SiO2 interface. The exfoliated Au surface was bonded with the surface of Au-metallized Si chip at room temperature in atmospheric air by the surface activated bonding method. The Cu substrate electroformed using Au/Cu layer was poorly bonded because Cu atoms diffused through the Au film formed CuO on the surface. In the case of the Au/Ta/Cu seed layer, however, the Cu substrate was strongly bonded because the diffusion of Cu was blocked by the Ta barrier layer. It is expected that this technique will contribute to direct bonding between semiconductor device and heat spreader at room temperature without the use of vacuum bonding equipment.
采用电铸法制备了具有光滑金键合膜的铜基导热片,用于与电子器件在大气环境下的室温键合。采用Au/Cu(自下而上)和Au/Ta/Cu种子层沉积在光滑的热氧化硅片上,电铸Cu衬底;然后在Au/SiO2界面处进行剥离。采用表面活化键合的方法,将剥离后的金表面与金属化金硅片表面在常温下进行了键合。在Au/Cu层电铸的Cu衬底上,Cu原子通过Au膜扩散,在表面形成CuO,导致结合力差。然而,在Au/Ta/Cu种子层中,由于Cu的扩散被Ta势垒层阻挡,Cu衬底被强键合。预计该技术将有助于在室温下实现半导体器件与散热器之间的直接键合,而无需使用真空键合设备。
{"title":"Room temperature bonding of smooth Au surface of electroformed Cu substrate in atmospheric air","authors":"T. Matsumae, Michitaka Yamamoto, Y. Kurashima, E. Higurashi, H. Takagi","doi":"10.23919/ICEP.2019.8733497","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733497","url":null,"abstract":"A Cu-based heat spreader with smooth Au bonding film was fabricated by electroforming for room temperature bonding with electronic device in atmospheric air. The Cu substrates were electroformed using Au/Cu (bottom to top) and Au/Ta/Cu seed layers deposited onto smooth thermally-oxidized Si wafers; next, they were exfoliated at the Au/SiO2 interface. The exfoliated Au surface was bonded with the surface of Au-metallized Si chip at room temperature in atmospheric air by the surface activated bonding method. The Cu substrate electroformed using Au/Cu layer was poorly bonded because Cu atoms diffused through the Au film formed CuO on the surface. In the case of the Au/Ta/Cu seed layer, however, the Cu substrate was strongly bonded because the diffusion of Cu was blocked by the Ta barrier layer. It is expected that this technique will contribute to direct bonding between semiconductor device and heat spreader at room temperature without the use of vacuum bonding equipment.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121184700","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
The study of Sn-45Bi-2.6Zn alloy before and after thermal aging Sn-45Bi-2.6Zn合金热时效前后的研究
Pub Date : 2019-04-01 DOI: 10.23919/ICEP.2019.8733417
Shiqi Zhou, Chih-han Yang, Yu-An Shen, S. Lin, H. Nishikawa
A new Sn-45Bi-2.6Zn (wt. %) alloy was developed to replacing eutectic Sn-58Bi alloy as a low-melting temperature solder alloy. A tensile elongation improvement was obtained by increasing the Sn to Bi volume ratio because the content of intrinsic brittle Bi was reduced. A solidus temperature of 133 Υ was achieved in a calculated Sn-Bi-Zn ternary system. The calculation of phase diagram (CALPHAD) method was used to help understand the melting behavior of Sn-45Bi-2.6Zn alloy. After aging, the elongation was still superior than that of eutectic Sn-58bi owing to the larger volume fraction of Sn phase.
研制了一种新型Sn-45Bi-2.6Zn (wt. %)合金,取代共晶Sn-58Bi合金作为低温焊料合金。通过提高Sn与Bi体积比,降低了固有脆性Bi的含量,从而提高了拉伸伸长率。计算得到的Sn-Bi-Zn三元体系固相温度为133 Υ。采用相图计算法(CALPHAD)对Sn-45Bi-2.6Zn合金的熔解行为进行了研究。时效后,由于Sn相的体积分数较大,伸长率仍优于共晶Sn-58bi。
{"title":"The study of Sn-45Bi-2.6Zn alloy before and after thermal aging","authors":"Shiqi Zhou, Chih-han Yang, Yu-An Shen, S. Lin, H. Nishikawa","doi":"10.23919/ICEP.2019.8733417","DOIUrl":"https://doi.org/10.23919/ICEP.2019.8733417","url":null,"abstract":"A new Sn-45Bi-2.6Zn (wt. %) alloy was developed to replacing eutectic Sn-58Bi alloy as a low-melting temperature solder alloy. A tensile elongation improvement was obtained by increasing the Sn to Bi volume ratio because the content of intrinsic brittle Bi was reduced. A solidus temperature of 133 Υ was achieved in a calculated Sn-Bi-Zn ternary system. The calculation of phase diagram (CALPHAD) method was used to help understand the melting behavior of Sn-45Bi-2.6Zn alloy. After aging, the elongation was still superior than that of eutectic Sn-58bi owing to the larger volume fraction of Sn phase.","PeriodicalId":213025,"journal":{"name":"2019 International Conference on Electronics Packaging (ICEP)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129570134","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
2019 International Conference on Electronics Packaging (ICEP)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1