首页 > 最新文献

Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)最新文献

英文 中文
A 5 volt drive output buffer in a 3 volt technology 3伏技术中的5伏驱动输出缓冲器
B. L. Morris
This paper describes an output buffer which is capable of producing a 5 volt output voltage in a 3 volt technology, without exceeding 3.6 volts across a gate or drain-to-source of any transistor in the circuit. The buffer requires a 5 volt supply in addition to the normal (3.3 volt) supply. This buffer has been manufactured in the Lucent Technologies 0.35 /spl mu/m 3 volt technology.
本文描述了一种能够在3伏技术中产生5伏输出电压的输出缓冲器,在电路中任何晶体管的栅极或漏源端不超过3.6伏。除了正常(3.3伏)电源外,缓冲器还需要一个5伏的电源。该缓冲器采用朗讯技术0.35 /spl mu/m 3伏技术制造。
{"title":"A 5 volt drive output buffer in a 3 volt technology","authors":"B. L. Morris","doi":"10.1109/ASIC.1997.617020","DOIUrl":"https://doi.org/10.1109/ASIC.1997.617020","url":null,"abstract":"This paper describes an output buffer which is capable of producing a 5 volt output voltage in a 3 volt technology, without exceeding 3.6 volts across a gate or drain-to-source of any transistor in the circuit. The buffer requires a 5 volt supply in addition to the normal (3.3 volt) supply. This buffer has been manufactured in the Lucent Technologies 0.35 /spl mu/m 3 volt technology.","PeriodicalId":300310,"journal":{"name":"Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-09-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125570724","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
ASIC for signal processing and control for public telephones 用于公共电话信号处理和控制的专用集成电路
D. Navarro, J.I. Garcia-Nicolas, I. Parada, M. A. Lopez, A. Roy, C. Paz
A circuit that receives and process analog signals from the telephone line is presented; it generates dialing signals, and controls a number of electrical functions (power supply, ...) and mechanical functions (hanging, money-box, ...). This circuit replaces digital and analog electronic components in an existing printed circuit board. One of its main functions is to reduce phone operating power consumption.
介绍了一种接收和处理来自电话线的模拟信号的电路;它产生拨号信号,并控制许多电气功能(电源,…)和机械功能(悬挂,存钱箱,…)。该电路取代了现有印刷电路板中的数字和模拟电子元件。它的主要功能之一是降低手机的运行功耗。
{"title":"ASIC for signal processing and control for public telephones","authors":"D. Navarro, J.I. Garcia-Nicolas, I. Parada, M. A. Lopez, A. Roy, C. Paz","doi":"10.1109/ASIC.1997.616985","DOIUrl":"https://doi.org/10.1109/ASIC.1997.616985","url":null,"abstract":"A circuit that receives and process analog signals from the telephone line is presented; it generates dialing signals, and controls a number of electrical functions (power supply, ...) and mechanical functions (hanging, money-box, ...). This circuit replaces digital and analog electronic components in an existing printed circuit board. One of its main functions is to reduce phone operating power consumption.","PeriodicalId":300310,"journal":{"name":"Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-09-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121606817","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A layout advisor for timing-critical bus routing 用于定时关键总线路由的布局建议器
Wei Huang, A. Kahng
We describe a "topology advisor" for routing of critical (multisource) buses in building-block design. The tool accepts as input a block layout, a two-layer routing cost structure superposed over the block layout, terminal locations for a multi-source bus, and source-sink delay upper bound (linear or Elmore delay) constraints for all terminal pairs. The b best routing solutions (b a user parameter) that satisfy all constraints are returned. Efficient implementations of exhaustive search are used to guarantee optimal results when practical, and otherwise yield fast, high-quality results (if the problem is large or if the constraints are loose). Practical features include: (i) modeling of per-region and per-layer routing costs, (ii) routing to terminals located inside blocks, (iii) optional splitting of k-pin bus routes when the optimal routing passes through narrow channels, and (iv) heuristic speedups based on clustering and sampling.
我们描述了构建块设计中用于关键(多源)总线路由的“拓扑顾问”。该工具接受作为输入的块布局,叠加在块布局上的两层路由成本结构,多源总线的终端位置,以及所有终端对的源接收器延迟上限(线性或Elmore延迟)约束。返回满足所有约束的b个最佳路由解决方案(b为用户参数)。穷举搜索的高效实现用于保证实际情况下的最优结果,并在其他情况下产生快速、高质量的结果(如果问题很大或约束很松散)。实际功能包括:(i)每个区域和每层路由成本的建模,(ii)路由到位于块内的终端,(iii)当最佳路由通过狭窄通道时k-pin总线路线的可选分裂,以及(iv)基于聚类和抽样的启发式加速。
{"title":"A layout advisor for timing-critical bus routing","authors":"Wei Huang, A. Kahng","doi":"10.1109/ASIC.1997.617007","DOIUrl":"https://doi.org/10.1109/ASIC.1997.617007","url":null,"abstract":"We describe a \"topology advisor\" for routing of critical (multisource) buses in building-block design. The tool accepts as input a block layout, a two-layer routing cost structure superposed over the block layout, terminal locations for a multi-source bus, and source-sink delay upper bound (linear or Elmore delay) constraints for all terminal pairs. The b best routing solutions (b a user parameter) that satisfy all constraints are returned. Efficient implementations of exhaustive search are used to guarantee optimal results when practical, and otherwise yield fast, high-quality results (if the problem is large or if the constraints are loose). Practical features include: (i) modeling of per-region and per-layer routing costs, (ii) routing to terminals located inside blocks, (iii) optional splitting of k-pin bus routes when the optimal routing passes through narrow channels, and (iv) heuristic speedups based on clustering and sampling.","PeriodicalId":300310,"journal":{"name":"Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-09-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122223176","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
An efficient statistical method to estimate average power in sequential circuits considering input sensitivities 考虑输入灵敏度的顺序电路平均功率估计的有效统计方法
Zhanping Chen, K. Roy
Power dissipation in CMOS circuits is heavily dependent on the signal properties of the primary inputs. Due to uncertainties in specification of such properties, the average power should be specified between a maximum and a minimum possible value. In this paper, we present a novel statistical approach to accurately estimate the maximum and minimum bounds for average power of sequential circuits using a technique which estimates the sensitivities of average power dissipation to primary input signal properties. The signal properties are specified in terms of signal probability (probability of a signal being logic ONE) and signal activity (probability of signal switching). The sensitivities are obtained as a by-product of the statistical power estimation technique using a Monte Carlo based approach. Results show that the maximum and minimum average power dissipation can vary widely if the primary input probabilities and activities are not specified accurately.
CMOS电路的功耗很大程度上取决于初级输入的信号特性。由于这些特性的规格不确定,平均功率应在可能的最大值和最小值之间指定。在本文中,我们提出了一种新的统计方法来准确估计顺序电路的平均功率的最大值和最小限值,该方法使用了一种估计平均功率耗散对初级输入信号特性的灵敏度的技术。信号属性是根据信号概率(信号是逻辑ONE的概率)和信号活动(信号切换的概率)来指定的。灵敏度是利用基于蒙特卡罗的方法作为统计功率估计技术的副产品获得的。结果表明,如果不准确地指定主输入概率和活动,最大和最小平均功耗会有很大的变化。
{"title":"An efficient statistical method to estimate average power in sequential circuits considering input sensitivities","authors":"Zhanping Chen, K. Roy","doi":"10.1109/ASIC.1997.617003","DOIUrl":"https://doi.org/10.1109/ASIC.1997.617003","url":null,"abstract":"Power dissipation in CMOS circuits is heavily dependent on the signal properties of the primary inputs. Due to uncertainties in specification of such properties, the average power should be specified between a maximum and a minimum possible value. In this paper, we present a novel statistical approach to accurately estimate the maximum and minimum bounds for average power of sequential circuits using a technique which estimates the sensitivities of average power dissipation to primary input signal properties. The signal properties are specified in terms of signal probability (probability of a signal being logic ONE) and signal activity (probability of signal switching). The sensitivities are obtained as a by-product of the statistical power estimation technique using a Monte Carlo based approach. Results show that the maximum and minimum average power dissipation can vary widely if the primary input probabilities and activities are not specified accurately.","PeriodicalId":300310,"journal":{"name":"Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-09-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133850848","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
TU11/TU12 signal frame alignment and supervisory monitoring ASIC design TU11/TU12信号帧对准及监控ASIC设计
Sung-Hyuk Choi, J. Bang, Je-Soo Ko
In this paper we will go over the functions required for TU unit switching and explain the design of TUSM(TU11/TU12 frame alignment and supervisory monitoring) which executes these functions. VC3 signal termination, TUT11/TU12 signal frame alignment and supervisory monitoring function are essential to execute the TU11/TU12 unit switching in the BDCS (Broadband Digital Cross-connect System) with the 50 M/150 M level and 1.5 M/2 M level cross-connection function. The connection rate of the chip is set to 19.44 Mb/s and it is designed to have signal release/forming, de-multiplexing/muitiplexing of 7 TUG2, frame alignment of 28 TU11 or 21 TU12, VC11/VC12 signal path monitoring function and the monitoring function of signal connection located at the pre/post time switch.
在本文中,我们将介绍TU单元切换所需的功能,并解释执行这些功能的TUSM(TU11/TU12帧对齐和监督监控)的设计。VC3信号终端、TUT11/TU12信号帧对准和监控功能是实现宽带数字交叉连接系统(BDCS)中具有50 M/150 M电平和1.5 M/ 2m电平交叉连接功能的TU11/TU12单元切换所必需的。芯片的连接速率设置为19.44 Mb/s,具有7个TUG2的信号释放/形成、解复用/复用、28个TU11或21个TU12的帧对准、VC11/VC12信号路径监控功能和位于前后时间开关的信号连接监控功能。
{"title":"TU11/TU12 signal frame alignment and supervisory monitoring ASIC design","authors":"Sung-Hyuk Choi, J. Bang, Je-Soo Ko","doi":"10.1109/ASIC.1997.616995","DOIUrl":"https://doi.org/10.1109/ASIC.1997.616995","url":null,"abstract":"In this paper we will go over the functions required for TU unit switching and explain the design of TUSM(TU11/TU12 frame alignment and supervisory monitoring) which executes these functions. VC3 signal termination, TUT11/TU12 signal frame alignment and supervisory monitoring function are essential to execute the TU11/TU12 unit switching in the BDCS (Broadband Digital Cross-connect System) with the 50 M/150 M level and 1.5 M/2 M level cross-connection function. The connection rate of the chip is set to 19.44 Mb/s and it is designed to have signal release/forming, de-multiplexing/muitiplexing of 7 TUG2, frame alignment of 28 TU11 or 21 TU12, VC11/VC12 signal path monitoring function and the monitoring function of signal connection located at the pre/post time switch.","PeriodicalId":300310,"journal":{"name":"Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-09-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115751131","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
An optimal method for testing digital to analog converters 一种测试数模转换器的最佳方法
P. Fasang
An optimal method for testing a type of 8-bit digital to analog converters is presented. The method uses 89 out of 256 test vectors. The method for selecting the 89 vectors is explained. It is also pointed out that digital to analog converters of the type described in this paper are tested with 100% fault coverage with the 89 vectors. In addition, the 89 vectors also test for simultaneously switching errors (noise induced errors) and differential linearity. A discussion on the type of code which is used for designing this type of digital to analog converters which renders optimal testing (with 89 vectors) is included.
提出了一种测试8位数模转换器的最佳方法。该方法使用256个测试向量中的89个。说明了89个矢量的选择方法。文中还指出,本文所述类型的数模转换器用89个矢量进行了100%故障覆盖率的测试。此外,89个矢量还同时测试开关误差(噪声引起的误差)和微分线性。讨论了用于设计这种类型的数模转换器的代码类型,从而实现最佳测试(具有89个矢量)。
{"title":"An optimal method for testing digital to analog converters","authors":"P. Fasang","doi":"10.1109/ASIC.1997.616975","DOIUrl":"https://doi.org/10.1109/ASIC.1997.616975","url":null,"abstract":"An optimal method for testing a type of 8-bit digital to analog converters is presented. The method uses 89 out of 256 test vectors. The method for selecting the 89 vectors is explained. It is also pointed out that digital to analog converters of the type described in this paper are tested with 100% fault coverage with the 89 vectors. In addition, the 89 vectors also test for simultaneously switching errors (noise induced errors) and differential linearity. A discussion on the type of code which is used for designing this type of digital to analog converters which renders optimal testing (with 89 vectors) is included.","PeriodicalId":300310,"journal":{"name":"Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-09-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129529362","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Synthesize pass transistor logic gate by using free binary decision diagram 利用自由二进制决策图合成通型晶体管逻辑门
M. Tachibana
In this paper, a heuristic algorithm for free BDD node minimization is presented. This algorithm is designed to minimize small size FBDDs with application to synthesize pass transistor logic gate families. Experimental results based on 169 single output functions from MCNC benchmark two-level logic examples indicate 22 to 24% reduction of node count compared with initial ROBDD. Also, experimental results based on HWB functions (N=3-16) indicate node count reduced to 30 to 40% larger than the theoretical limit.
本文提出了一种自由BDD节点最小化的启发式算法。该算法设计用于最小化小尺寸fbdd,并应用于通管逻辑门系列的合成。基于MCNC基准两级逻辑示例的169个单输出函数的实验结果表明,与初始ROBDD相比,节点数减少了22 ~ 24%。此外,基于HWB函数(N=3-16)的实验结果表明,节点数比理论极限减少了30 - 40%。
{"title":"Synthesize pass transistor logic gate by using free binary decision diagram","authors":"M. Tachibana","doi":"10.1109/ASIC.1997.617005","DOIUrl":"https://doi.org/10.1109/ASIC.1997.617005","url":null,"abstract":"In this paper, a heuristic algorithm for free BDD node minimization is presented. This algorithm is designed to minimize small size FBDDs with application to synthesize pass transistor logic gate families. Experimental results based on 169 single output functions from MCNC benchmark two-level logic examples indicate 22 to 24% reduction of node count compared with initial ROBDD. Also, experimental results based on HWB functions (N=3-16) indicate node count reduced to 30 to 40% larger than the theoretical limit.","PeriodicalId":300310,"journal":{"name":"Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-09-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128524534","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
CMOS read-out IC with op-amp pixel amplifier for infrared focal plane arrays 带运放像素放大器的红外焦平面阵列CMOS读出集成电路
J.J. Niewiadomski, B. Carlson
The design and prototype of a read-out integrated circuit for an uncooled infrared focal plane array are described in this paper. The device is designed to interface to a pyroelectric infrared detector array of size 320/spl times/240. The read-out IC presented here achieves better performance and uniformity compared to existing approaches by implementing a single stage CMOS op-amp within each pixel of the detector array. The prototype devices exhibit fixed pattern noise less than 5 mv (0.5% of full scale) without correction. The pixel amplifier exhibits less than 0.6% maximum (0.5% average) relative gain error with less than 0.2% gain variation over the 1 V input range.
本文介绍了一种非制冷红外焦平面阵列读出集成电路的设计和样机。该器件设计用于连接尺寸为320/spl倍/240的热释电红外探测器阵列。本文提出的读出IC通过在探测器阵列的每个像素内实现单级CMOS运算放大器,与现有方法相比,实现了更好的性能和均匀性。原型器件在没有校正的情况下显示出小于5 mv(满量程的0.5%)的固定模式噪声。在1 V输入范围内,像素放大器的相对增益误差最大小于0.6%(平均小于0.5%),增益变化小于0.2%。
{"title":"CMOS read-out IC with op-amp pixel amplifier for infrared focal plane arrays","authors":"J.J. Niewiadomski, B. Carlson","doi":"10.1109/ASIC.1997.616980","DOIUrl":"https://doi.org/10.1109/ASIC.1997.616980","url":null,"abstract":"The design and prototype of a read-out integrated circuit for an uncooled infrared focal plane array are described in this paper. The device is designed to interface to a pyroelectric infrared detector array of size 320/spl times/240. The read-out IC presented here achieves better performance and uniformity compared to existing approaches by implementing a single stage CMOS op-amp within each pixel of the detector array. The prototype devices exhibit fixed pattern noise less than 5 mv (0.5% of full scale) without correction. The pixel amplifier exhibits less than 0.6% maximum (0.5% average) relative gain error with less than 0.2% gain variation over the 1 V input range.","PeriodicalId":300310,"journal":{"name":"Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-09-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128567765","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A robust CMOS logic technique for building high frequency circuits with efficient pipelining 一种强大的CMOS逻辑技术,用于构建具有高效流水线的高频电路
E. Gayles, K. Acken, R. Owens, M. J. Irwin
Current fine grain pipelining techniques, such as True Single-Phase, allow for high frequency circuit design at the cost of significant latency per operation. On the other hand, low latency designs require complex circuitry within pipeline stages, which is not feasible when designing high clock frequency systems. In this paper, we propose a novel CMOS circuit technique that allows both high frequency circuits and low cycle latency per operation. Our technique differs from other logic families that have attempted to provide the same advantages by being more robust in the presence of process variations and signal coupling. To show the feasibility of our circuit technique, we also present a 64 bit carry-lookahead adder using this circuit technique that is capable of calculating a 64 bit add every 2.0 nanoseconds.
当前的细粒度流水线技术,如True单相,允许高频电路设计,但代价是每次操作的显著延迟。另一方面,低延迟设计需要复杂的流水线级电路,这在设计高时钟频率系统时是不可行的。在本文中,我们提出了一种新的CMOS电路技术,允许高频电路和每次操作的低周期延迟。我们的技术不同于其他逻辑家族,这些逻辑家族试图通过在过程变化和信号耦合的情况下更加健壮来提供相同的优势。为了证明我们的电路技术的可行性,我们还提出了一个使用该电路技术的64位进位前瞻加法器,它能够每2.0纳秒计算一个64位加法。
{"title":"A robust CMOS logic technique for building high frequency circuits with efficient pipelining","authors":"E. Gayles, K. Acken, R. Owens, M. J. Irwin","doi":"10.1109/ASIC.1997.616999","DOIUrl":"https://doi.org/10.1109/ASIC.1997.616999","url":null,"abstract":"Current fine grain pipelining techniques, such as True Single-Phase, allow for high frequency circuit design at the cost of significant latency per operation. On the other hand, low latency designs require complex circuitry within pipeline stages, which is not feasible when designing high clock frequency systems. In this paper, we propose a novel CMOS circuit technique that allows both high frequency circuits and low cycle latency per operation. Our technique differs from other logic families that have attempted to provide the same advantages by being more robust in the presence of process variations and signal coupling. To show the feasibility of our circuit technique, we also present a 64 bit carry-lookahead adder using this circuit technique that is capable of calculating a 64 bit add every 2.0 nanoseconds.","PeriodicalId":300310,"journal":{"name":"Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-09-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127630169","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
I/O impedance matching algorithm for high-performance ASICs 高性能asic的I/O阻抗匹配算法
P. Zuchowski, J. H. Panner, D. Stout, J. Adams, F. Chan, P. Dunn, A. D. Huber, J. J. Oler
This paper discusses a design style that utilizes an area array of flip-chip solder bump connections, I/O circuit designs that implement a programmable impedance matching algorithm, and a design system that must utilize these features during chip layout, chip checking, and release to manufacturing. Results from a recent test chip are also given.
本文讨论了一种设计风格,该设计风格利用倒装芯片焊接凸点连接的面积阵列,实现可编程阻抗匹配算法的I/O电路设计,以及在芯片布局,芯片检查和释放到制造过程中必须利用这些功能的设计系统。最后给出了一种新型测试芯片的测试结果。
{"title":"I/O impedance matching algorithm for high-performance ASICs","authors":"P. Zuchowski, J. H. Panner, D. Stout, J. Adams, F. Chan, P. Dunn, A. D. Huber, J. J. Oler","doi":"10.1109/ASIC.1997.617019","DOIUrl":"https://doi.org/10.1109/ASIC.1997.617019","url":null,"abstract":"This paper discusses a design style that utilizes an area array of flip-chip solder bump connections, I/O circuit designs that implement a programmable impedance matching algorithm, and a design system that must utilize these features during chip layout, chip checking, and release to manufacturing. Results from a recent test chip are also given.","PeriodicalId":300310,"journal":{"name":"Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-09-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122305978","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
期刊
Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1