首页 > 最新文献

Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)最新文献

英文 中文
A modern ASIC controller for a 6-pulse rectifier 用于6脉冲整流器的现代ASIC控制器
M. Cirstea, M. G. Giamusi, M. McCormick
This paper illustrates the advantages of silicon implementation of a digital controller for 3-phase 6-pulse power rectifiers, using Electronic Design Automation (EDA) techniques. The control Application Specific Integrated Circuit (ASIC) was simulated and then implemented into a XILINX Field Programmable Gate Array (FPGA). The paper describes the practical achievement of a new zero crossing detection circuit for the six line-to-line power voltages, which eliminates the use of transformers and performs a good galvanic isolation in conjunction with a high noise immunity. The complete 6-pulse controller including the ASIC, the zero-crossing detector and an interface circuit was commissioned and is currently being comprehensively tested.
本文阐述了采用电子设计自动化(EDA)技术,用硅实现三相六脉冲功率整流器数字控制器的优点。对控制专用集成电路(ASIC)进行了仿真,并在XILINX现场可编程门阵列(FPGA)中实现。本文介绍了一种新的六线对线电压过零检测电路的实际成果,该电路消除了变压器的使用,并具有良好的电流隔离和高抗噪性。完整的6脉冲控制器,包括ASIC、过零检测器和接口电路,已经投入使用,目前正在进行全面测试。
{"title":"A modern ASIC controller for a 6-pulse rectifier","authors":"M. Cirstea, M. G. Giamusi, M. McCormick","doi":"10.1109/ASIC.1997.617032","DOIUrl":"https://doi.org/10.1109/ASIC.1997.617032","url":null,"abstract":"This paper illustrates the advantages of silicon implementation of a digital controller for 3-phase 6-pulse power rectifiers, using Electronic Design Automation (EDA) techniques. The control Application Specific Integrated Circuit (ASIC) was simulated and then implemented into a XILINX Field Programmable Gate Array (FPGA). The paper describes the practical achievement of a new zero crossing detection circuit for the six line-to-line power voltages, which eliminates the use of transformers and performs a good galvanic isolation in conjunction with a high noise immunity. The complete 6-pulse controller including the ASIC, the zero-crossing detector and an interface circuit was commissioned and is currently being comprehensively tested.","PeriodicalId":300310,"journal":{"name":"Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-09-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"117314950","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
A low power high speed error correction code macro using complementary pass transistor logic circuit 一种采用互补通型晶体管逻辑电路的低功耗高速纠错码宏
L.K. Wang, H.H. Chen
This paper describes the design and implementation of the complementary pass transistor logic (CPL) circuit in a CMOS macro design. The power, speed and noise margin of pass-transistor logic circuits are evaluated and the transistor sizes are optimized for noise margin and circuit performance. This circuit has been successfully implemented in a 64-bit Error Correction Code (ECC) and parity checking macro in the IBM S/390 CMOS processor and significantly improves the power and speed of the ECC macro performance.
本文介绍了互补通型晶体管逻辑电路在CMOS宏设计中的设计与实现。对通管逻辑电路的功率、速度和噪声裕度进行了评估,并根据噪声裕度和电路性能对晶体管尺寸进行了优化。该电路已在IBM S/390 CMOS处理器的64位纠错码(Error Correction Code, ECC)和奇偶校验宏中成功实现,显著提高了ECC宏的功耗和速度性能。
{"title":"A low power high speed error correction code macro using complementary pass transistor logic circuit","authors":"L.K. Wang, H.H. Chen","doi":"10.1109/ASIC.1997.616970","DOIUrl":"https://doi.org/10.1109/ASIC.1997.616970","url":null,"abstract":"This paper describes the design and implementation of the complementary pass transistor logic (CPL) circuit in a CMOS macro design. The power, speed and noise margin of pass-transistor logic circuits are evaluated and the transistor sizes are optimized for noise margin and circuit performance. This circuit has been successfully implemented in a 64-bit Error Correction Code (ECC) and parity checking macro in the IBM S/390 CMOS processor and significantly improves the power and speed of the ECC macro performance.","PeriodicalId":300310,"journal":{"name":"Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-09-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115870659","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Automatic feasibility/performance estimation of mixed-signal circuits based on design specifications 基于设计规范的混合信号电路可行性/性能自动估计
Kyung-Im Son, Heung-Joon Park, M. Soma
This paper proposes a new technique to estimate the feasibility/performance surfaces of mixed-signal circuits. The estimates will be used to construct a multi-class classifier which can be used as an automatic topology selector for the top-down design of analog circuits. The technique employs an ANN classification algorithm that requires no a priori knowledge of the complexity or shape of estimated surfaces. The estimation is optimized with respect to the training data size using a query-based data growing technique. As a case study, the feasibility/performance surfaces of sub-circuits in a 2nd order /spl Sigma/-/spl Delta/ ADC are estimated. The estimation results confirm the generality of the proposed method. Estimated surfaces can be updated swiftly as the process technology evolves, which makes our technique nearly process technology independent.
本文提出了一种估算混合信号电路可行性/性能曲面的新方法。该估计将用于构建多类分类器,该分类器可作为自顶向下模拟电路设计的自动拓扑选择器。该技术采用了一种人工神经网络分类算法,该算法不需要先验地了解估计表面的复杂性或形状。使用基于查询的数据增长技术对训练数据大小进行了优化估计。作为一个案例研究,估计了二阶/spl Sigma/-/spl Delta/ ADC的子电路的可行性/性能面。估计结果证实了所提方法的通用性。估计曲面可以随着工艺技术的发展而快速更新,这使得我们的技术几乎与工艺技术无关。
{"title":"Automatic feasibility/performance estimation of mixed-signal circuits based on design specifications","authors":"Kyung-Im Son, Heung-Joon Park, M. Soma","doi":"10.1109/ASIC.1997.616989","DOIUrl":"https://doi.org/10.1109/ASIC.1997.616989","url":null,"abstract":"This paper proposes a new technique to estimate the feasibility/performance surfaces of mixed-signal circuits. The estimates will be used to construct a multi-class classifier which can be used as an automatic topology selector for the top-down design of analog circuits. The technique employs an ANN classification algorithm that requires no a priori knowledge of the complexity or shape of estimated surfaces. The estimation is optimized with respect to the training data size using a query-based data growing technique. As a case study, the feasibility/performance surfaces of sub-circuits in a 2nd order /spl Sigma/-/spl Delta/ ADC are estimated. The estimation results confirm the generality of the proposed method. Estimated surfaces can be updated swiftly as the process technology evolves, which makes our technique nearly process technology independent.","PeriodicalId":300310,"journal":{"name":"Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-09-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127676073","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Testable VLSI circuit design of SIMD graphics engine 可测试的SIMD图形引擎VLSI电路设计
D. Pok, C.-i.H. Chen
In every computer graphics system, there is some interaction between a special memory called a frame buffer and a computation engine. It is the architecture between these two that determines how fast, flexible, and expensive the graphics subsystem is. In this paper, we present the testability analysis and chip testing of Enhanced Memory Chip (EMC) using the scan-BIST (built-in self-test) partial scan scenario. EMC is a multi-million transistors graphics computation engine produced by WL/AASE, WPAFB in VHDL formats and is fabricated using 0.5 /spl mu/m CMOS technology. Fundamentally, it is memory that is enhanced with tightly-coupled computational logic on the same chip. The logic is arranged in a single instruction, multiple data architecture that can efficiently perform the linear expression evaluation that is common in the lowest level graphic rasterization. The fault simulation shows that partial scan scenario is feasible for EMC and generates scan-BIST high fault coverage and low overhead.
在每个计算机图形系统中,在称为帧缓冲器的特殊存储器和计算引擎之间都有一些交互作用。这两者之间的体系结构决定了图形子系统的速度、灵活性和成本。在本文中,我们提出了增强记忆芯片(Enhanced Memory chip, EMC)在扫描-内置自检(scan- bist)部分扫描场景下的可测试性分析和芯片测试。EMC是由WL/AASE, WPAFB以VHDL格式生产的数百万晶体管图形计算引擎,采用0.5 /spl mu/m CMOS技术制造。从根本上说,它是通过在同一芯片上紧密耦合的计算逻辑来增强内存的。逻辑被安排在一个单一的指令,多个数据架构,可以有效地执行线性表达式评估,是常见的在最低层次的图形光栅化。故障仿真结果表明,局部扫描方案对电磁兼容是可行的,具有高故障覆盖率和低开销。
{"title":"Testable VLSI circuit design of SIMD graphics engine","authors":"D. Pok, C.-i.H. Chen","doi":"10.1109/ASIC.1997.616981","DOIUrl":"https://doi.org/10.1109/ASIC.1997.616981","url":null,"abstract":"In every computer graphics system, there is some interaction between a special memory called a frame buffer and a computation engine. It is the architecture between these two that determines how fast, flexible, and expensive the graphics subsystem is. In this paper, we present the testability analysis and chip testing of Enhanced Memory Chip (EMC) using the scan-BIST (built-in self-test) partial scan scenario. EMC is a multi-million transistors graphics computation engine produced by WL/AASE, WPAFB in VHDL formats and is fabricated using 0.5 /spl mu/m CMOS technology. Fundamentally, it is memory that is enhanced with tightly-coupled computational logic on the same chip. The logic is arranged in a single instruction, multiple data architecture that can efficiently perform the linear expression evaluation that is common in the lowest level graphic rasterization. The fault simulation shows that partial scan scenario is feasible for EMC and generates scan-BIST high fault coverage and low overhead.","PeriodicalId":300310,"journal":{"name":"Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-09-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133117702","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Applying functional decomposition for depth minimal technology mapping of multiplexer based FPGAs 应用函数分解实现基于多路复用器的fpga深度最小技术映射
C. Yeh
Functional decomposition has undergone intensive study in recent literature and gained great success in technology mapping for lookup-table based FPGAs. Yet none has ever attempted at extending such success to other applications. In this work, we move one step further by choosing the multiplexer based FPGAs as the new test bed. Using a typical algorithmic framework and the benchmark test set, we show that functional decomposition can be easily adapted to reduce the logic depth of the mapped network without incurring massive area penalty.
功能分解在最近的文献中得到了深入的研究,并在基于查询表的fpga的技术映射中取得了巨大的成功。然而,没有人尝试将这种成功扩展到其他应用程序。在这项工作中,我们进一步选择基于多路复用器的fpga作为新的测试平台。使用典型的算法框架和基准测试集,我们表明功能分解可以很容易地适应于减少映射网络的逻辑深度,而不会产生大量的面积损失。
{"title":"Applying functional decomposition for depth minimal technology mapping of multiplexer based FPGAs","authors":"C. Yeh","doi":"10.1109/ASIC.1997.616987","DOIUrl":"https://doi.org/10.1109/ASIC.1997.616987","url":null,"abstract":"Functional decomposition has undergone intensive study in recent literature and gained great success in technology mapping for lookup-table based FPGAs. Yet none has ever attempted at extending such success to other applications. In this work, we move one step further by choosing the multiplexer based FPGAs as the new test bed. Using a typical algorithmic framework and the benchmark test set, we show that functional decomposition can be easily adapted to reduce the logic depth of the mapped network without incurring massive area penalty.","PeriodicalId":300310,"journal":{"name":"Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-09-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114294274","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
On the relationship among accuracy, tolerance and compensation in the deep sub-micron era 深亚微米时代精度、公差与补偿的关系
D. Hill, A. Domic
Historically, ASIC solutions tended to be effective because the physics and scale of most production technologies allowed circuit designers (and circuit design tools) to safely abstract physical properties: the size and performance of chips could largely be predicted based on logical structure alone. But those days are going fast, and with the advent of 500 K+ gate chips in deep sub-micron (DSM) technologies, new approaches must be found, This paper starts with some background in technology trends, and then reviews the traditional "over the wall" methodology. Section 3 then proposes a "limited loops" design flow based on estimation, floorplanning, and the effective cooperation of synthesis and gate-level placement technologies. This flow is discussed from the perspective of error in the estimation processes and the ability of subsequent steps to tolerate and compensate for the error.
从历史上看,ASIC解决方案往往是有效的,因为大多数生产技术的物理和规模允许电路设计人员(和电路设计工具)安全地抽象物理特性:芯片的尺寸和性能在很大程度上可以仅根据逻辑结构来预测。但时间很快就过去了,随着深亚微米(DSM)技术中500k +栅极芯片的出现,必须找到新的方法。本文从技术趋势的一些背景开始,然后回顾传统的“翻墙”方法。然后,第3节提出了基于估算、平面规划以及综合和门级放置技术的有效合作的“有限循环”设计流程。从估计过程中的误差以及后续步骤容忍和补偿误差的能力的角度来讨论这个流程。
{"title":"On the relationship among accuracy, tolerance and compensation in the deep sub-micron era","authors":"D. Hill, A. Domic","doi":"10.1109/ASIC.1997.616986","DOIUrl":"https://doi.org/10.1109/ASIC.1997.616986","url":null,"abstract":"Historically, ASIC solutions tended to be effective because the physics and scale of most production technologies allowed circuit designers (and circuit design tools) to safely abstract physical properties: the size and performance of chips could largely be predicted based on logical structure alone. But those days are going fast, and with the advent of 500 K+ gate chips in deep sub-micron (DSM) technologies, new approaches must be found, This paper starts with some background in technology trends, and then reviews the traditional \"over the wall\" methodology. Section 3 then proposes a \"limited loops\" design flow based on estimation, floorplanning, and the effective cooperation of synthesis and gate-level placement technologies. This flow is discussed from the perspective of error in the estimation processes and the ability of subsequent steps to tolerate and compensate for the error.","PeriodicalId":300310,"journal":{"name":"Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-09-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115976460","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Boundary scan adaption for active substrate MCM-test 有源衬底mcm测试的边界扫描自适应
H. Werkmann, B. Hofflinger, B. Laquai
A MCM test strategy using boundary scan-cells integrated into silicon substrates is presented. The differences between IC-based boundary scan and active substrate boundary scan and their effects on scan-cell placement and design are highlighted. A yield optimized partition of the test circuitry and an adaption to commercially available boundary scan test systems is proposed. Active substrates with boundary scan test capabilities are fabricated based on the identified requirements. The adaption to the test system is evaluated.
提出了一种集成在硅衬底上的边界扫描单元的MCM测试策略。强调了基于集成电路的边界扫描与有源衬底边界扫描之间的差异及其对扫描单元放置和设计的影响。提出了一种良率优化的测试电路划分方法和一种适用于商用边界扫描测试系统的方法。具有边界扫描测试能力的有源基板是根据确定的要求制造的。对测试系统的适应性进行了评价。
{"title":"Boundary scan adaption for active substrate MCM-test","authors":"H. Werkmann, B. Hofflinger, B. Laquai","doi":"10.1109/ASIC.1997.616979","DOIUrl":"https://doi.org/10.1109/ASIC.1997.616979","url":null,"abstract":"A MCM test strategy using boundary scan-cells integrated into silicon substrates is presented. The differences between IC-based boundary scan and active substrate boundary scan and their effects on scan-cell placement and design are highlighted. A yield optimized partition of the test circuitry and an adaption to commercially available boundary scan test systems is proposed. Active substrates with boundary scan test capabilities are fabricated based on the identified requirements. The adaption to the test system is evaluated.","PeriodicalId":300310,"journal":{"name":"Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-09-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129730419","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Efficient redundancy identification for test pattern generation 测试模式生成的高效冗余识别
Sangyun Han, Sungho Kang
Due to the reconvergent fanouts which make the dependency among objectives and block the fault propagation, there may exist redundant faults in the circuits. This paper presents the isomorphism identification algorithm and the pseudo dominator algorithm which are used to identify redundant faults. Experimental results on ISCAS 85 benchmark circuits show that these algorithms are efficient in identifying redundant faults.
由于扇出的再收敛使得目标间相互依赖,阻碍了故障的传播,使得电路中可能存在冗余故障。提出了用于冗余故障识别的同构识别算法和伪主导者算法。在ISCAS 85基准电路上的实验结果表明,该算法能够有效地识别冗余故障。
{"title":"Efficient redundancy identification for test pattern generation","authors":"Sangyun Han, Sungho Kang","doi":"10.1109/ASIC.1997.616977","DOIUrl":"https://doi.org/10.1109/ASIC.1997.616977","url":null,"abstract":"Due to the reconvergent fanouts which make the dependency among objectives and block the fault propagation, there may exist redundant faults in the circuits. This paper presents the isomorphism identification algorithm and the pseudo dominator algorithm which are used to identify redundant faults. Experimental results on ISCAS 85 benchmark circuits show that these algorithms are efficient in identifying redundant faults.","PeriodicalId":300310,"journal":{"name":"Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-09-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129210360","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
LVDS I/O buffers with a controlled reference circuit 带可控参考电路的LVDS I/O缓冲器
T. Gabara, W. Fischer, W. Werner, S. Siegel, M. Kothandaraman, P. Metz, D. Gradl
A controlled reference circuit maintains the output voltage levels and current values of an LVDS output buffer constant over (PVT) processing, voltage supply, and temperature variations. The reference circuit requires one external resistor and generates two DC control voltages which are applied to all output buffers. An on-chip resistance is described which maintains a tightly controlled impedance of approximately 100 /spl Omega/ over the common mode range of 0 to 2.4 V. A measured waveform at 1.244 Gb/s is given.
受控参考电路维持LVDS输出缓冲恒过(PVT)处理、电压供应和温度变化的输出电压电平和电流值。参考电路需要一个外部电阻,并产生两个直流控制电压,这些电压应用于所有输出缓冲器。片上电阻在0至2.4 V共模范围内保持约100 /spl ω /的严格控制阻抗。给出了1.244 Gb/s速率下的实测波形。
{"title":"LVDS I/O buffers with a controlled reference circuit","authors":"T. Gabara, W. Fischer, W. Werner, S. Siegel, M. Kothandaraman, P. Metz, D. Gradl","doi":"10.1109/ASIC.1997.617028","DOIUrl":"https://doi.org/10.1109/ASIC.1997.617028","url":null,"abstract":"A controlled reference circuit maintains the output voltage levels and current values of an LVDS output buffer constant over (PVT) processing, voltage supply, and temperature variations. The reference circuit requires one external resistor and generates two DC control voltages which are applied to all output buffers. An on-chip resistance is described which maintains a tightly controlled impedance of approximately 100 /spl Omega/ over the common mode range of 0 to 2.4 V. A measured waveform at 1.244 Gb/s is given.","PeriodicalId":300310,"journal":{"name":"Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-09-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126235572","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 31
Lorentz force MOS transistor 洛伦兹力MOS晶体管
T. Gabara
A new set of terminals has been introduced to control the behavior of an MOS device. A magnetic field, formed when current flows through an on-chip coil, is applied to the inversion layer of an MOS device. The generated Lorentz force is applied to the carriers in the inversion layer to alter the characteristics of the MOS device. The magnetic field is applied parallel to the inversion layer and perpendicular to the carrier flow. Measurement results using this structure as a 1.8 GHz mixer are given. Measurements demonstrate that the interaction between the coil and the MOS device is primarily due to the Lorentz force. The capacitive coupling effect between the coil and the device plays a minor role.
介绍了一套新的终端来控制MOS器件的行为。当电流流过片上线圈时形成的磁场被施加到MOS器件的反转层。将产生的洛伦兹力作用于反转层中的载流子,改变MOS器件的特性。磁场平行于反转层,垂直于载流子流。给出了该结构作为1.8 GHz混频器的测量结果。测量表明,线圈和MOS器件之间的相互作用主要是由于洛伦兹力。线圈与器件之间的电容耦合效应只起很小的作用。
{"title":"Lorentz force MOS transistor","authors":"T. Gabara","doi":"10.1109/ASIC.1997.617024","DOIUrl":"https://doi.org/10.1109/ASIC.1997.617024","url":null,"abstract":"A new set of terminals has been introduced to control the behavior of an MOS device. A magnetic field, formed when current flows through an on-chip coil, is applied to the inversion layer of an MOS device. The generated Lorentz force is applied to the carriers in the inversion layer to alter the characteristics of the MOS device. The magnetic field is applied parallel to the inversion layer and perpendicular to the carrier flow. Measurement results using this structure as a 1.8 GHz mixer are given. Measurements demonstrate that the interaction between the coil and the MOS device is primarily due to the Lorentz force. The capacitive coupling effect between the coil and the device plays a minor role.","PeriodicalId":300310,"journal":{"name":"Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-09-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130199772","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1