首页 > 最新文献

2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)最新文献

英文 中文
An 8-core RISC-V Processor with Compute near Last Level Cache in Intel 4 CMOS 一个8核RISC-V处理器,在Intel 4 CMOS中具有接近最后一级缓存的计算
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830518
Gregory K. Chen, Phil C. Knag, Carlos Tokunaga, R. Krishnamurthy
An 8-core 64b processor extends RISC-V to perform multiply accumulate within shared last level cache. Compute Near Last Level Cache (CNC) enables high-bandwidth access and local compute with the highest-capacity on-chip SRAM. The 1.15GHz chip expands virtual addressing, coherency, and consistency to CNC, enabling Linux-capable multi-core operation. CNC reduces energy by 52× for fully connected and 29× for convolutional DNN layers. MLPerf™ Anomaly Detection latency is reduced by 4.25× to 40μs.
8核64b处理器扩展了RISC-V,在共享的最后一级缓存内执行乘法累积。计算近最后一级缓存(CNC)可以使用最高容量的片上SRAM实现高带宽访问和本地计算。1.15GHz芯片将虚拟寻址、一致性和一致性扩展到CNC,使linux支持的多核操作成为可能。CNC对于完全连接的DNN层减少了52x的能量,对于卷积DNN层减少了29x的能量。MLPerf™异常检测延迟降低4.25倍至40μs。
{"title":"An 8-core RISC-V Processor with Compute near Last Level Cache in Intel 4 CMOS","authors":"Gregory K. Chen, Phil C. Knag, Carlos Tokunaga, R. Krishnamurthy","doi":"10.1109/vlsitechnologyandcir46769.2022.9830518","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830518","url":null,"abstract":"An 8-core 64b processor extends RISC-V to perform multiply accumulate within shared last level cache. Compute Near Last Level Cache (CNC) enables high-bandwidth access and local compute with the highest-capacity on-chip SRAM. The 1.15GHz chip expands virtual addressing, coherency, and consistency to CNC, enabling Linux-capable multi-core operation. CNC reduces energy by 52× for fully connected and 29× for convolutional DNN layers. MLPerf™ Anomaly Detection latency is reduced by 4.25× to 40μs.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127469506","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
4 Bits/cell Hybrid 1F1R for High Density Embedded Non-Volatile Memory and its Application for Compute in Memory 高密度嵌入式非易失性存储器的4bit /cell混合1F1R及其在内存计算中的应用
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830242
W.-C. Chen, F. Huang, S. Qin, Z. Yu, Q. Lin, P. McIntyre, S. Wong, H. P. Wong
We present 1-FeFET-1-RRAM (1F1R) hybrid nonvolatile memory for dense embedded memory application. By allocating 2 bits each in the RRAM and FeFET, we show 4 bits/cell capability with retention over 104 seconds at 85 °C. An array of 1F1R cells enables a new compute-in-memory (CIM) concept – Masked CIM. Masked CIM can store 2× the amount of signed weights compared with traditional CIM array. Doubling synapses density allows implementing larger neural network models that is critical for applications beyond toy datasets such as MNIST or CIFAR-10.
我们提出1-FeFET-1-RRAM (1F1R)混合非易失性存储器,用于密集嵌入式存储器应用。通过在RRAM和ffet中各分配2位,我们显示了4位/单元的能力,在85°C下保持超过104秒。1F1R单元阵列实现了一种新的内存计算(CIM)概念——屏蔽CIM。与传统CIM数组相比,掩码CIM可以存储2倍的有符号权值。加倍突触密度允许实现更大的神经网络模型,这对于超越玩具数据集(如MNIST或CIFAR-10)的应用至关重要。
{"title":"4 Bits/cell Hybrid 1F1R for High Density Embedded Non-Volatile Memory and its Application for Compute in Memory","authors":"W.-C. Chen, F. Huang, S. Qin, Z. Yu, Q. Lin, P. McIntyre, S. Wong, H. P. Wong","doi":"10.1109/vlsitechnologyandcir46769.2022.9830242","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830242","url":null,"abstract":"We present 1-FeFET-1-RRAM (1F1R) hybrid nonvolatile memory for dense embedded memory application. By allocating 2 bits each in the RRAM and FeFET, we show 4 bits/cell capability with retention over 104 seconds at 85 °C. An array of 1F1R cells enables a new compute-in-memory (CIM) concept – Masked CIM. Masked CIM can store 2× the amount of signed weights compared with traditional CIM array. Doubling synapses density allows implementing larger neural network models that is critical for applications beyond toy datasets such as MNIST or CIFAR-10.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125050063","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A 32Mb Embedded Flash Memory based on 28nm with the best Cell Efficiency and Robust Design achievement featuring 13.48Mb/mm2 at 0.85V 基于28nm的32Mb嵌入式闪存,具有最佳电池效率和稳健的设计成就,在0.85V下具有13.48Mb/mm2的性能
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830151
H. Shin, Sangkyung Won, Do-Hee Kim, Byung-Soon Choi, G.H. Kim, Myeonghee Oh, Jaeseung Choi, J. Kye
A 28nm embedded Flash memory presented in this paper maximizes memory operation efficiently while implementing an optimal size. The peripheral size was reduced by applying the temperature auto tracking assist circuit with Bidirectional and Series-Parallel Conversion (BSPC) charge pump technology, and the IP size was improved by implementing the maximum density per mat by enhancing the sensing margin using Source Line Floating (SLF) technology. Also, using Program Current Auto Control (PCAC) scheme, program operation efficiency was improved and characteristic deviation of bit cell was reduced. With the application of these technologies, 72 bits program and 144 bits read operation are supported, and chip size 2.367mm2 is implemented based on 32Mbit density. This has the best competitiveness considering the area Mbit (13.48Mb/mm2) and Cell efficiency (68.1%).
本文提出的28nm嵌入式快闪记忆体,在实现最佳尺寸的同时,有效地提高记忆体运算效率。通过采用温度自动跟踪辅助电路和双向串并联转换(BSPC)电荷泵技术减小了外设尺寸,并通过采用源线浮动(SLF)技术提高传感裕度来实现每垫的最大密度,从而提高了IP尺寸。采用程序电流自动控制(PCAC)方案,提高了程序运行效率,减小了位单元的特性偏差。通过这些技术的应用,支持72位程序和144位读取操作,并基于32Mbit密度实现芯片尺寸2.367mm2。考虑到面积Mbit (13.48Mb/mm2)和Cell效率(68.1%),这具有最佳的竞争力。
{"title":"A 32Mb Embedded Flash Memory based on 28nm with the best Cell Efficiency and Robust Design achievement featuring 13.48Mb/mm2 at 0.85V","authors":"H. Shin, Sangkyung Won, Do-Hee Kim, Byung-Soon Choi, G.H. Kim, Myeonghee Oh, Jaeseung Choi, J. Kye","doi":"10.1109/vlsitechnologyandcir46769.2022.9830151","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830151","url":null,"abstract":"A 28nm embedded Flash memory presented in this paper maximizes memory operation efficiently while implementing an optimal size. The peripheral size was reduced by applying the temperature auto tracking assist circuit with Bidirectional and Series-Parallel Conversion (BSPC) charge pump technology, and the IP size was improved by implementing the maximum density per mat by enhancing the sensing margin using Source Line Floating (SLF) technology. Also, using Program Current Auto Control (PCAC) scheme, program operation efficiency was improved and characteristic deviation of bit cell was reduced. With the application of these technologies, 72 bits program and 144 bits read operation are supported, and chip size 2.367mm2 is implemented based on 32Mbit density. This has the best competitiveness considering the area Mbit (13.48Mb/mm2) and Cell efficiency (68.1%).","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125196225","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Standard Cell Design Optimization with Advanced MOL Technology in 3nm GAA Process 基于先进MOL技术的3nm GAA制程标准电池设计优化
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830450
Giyoung Yang, Hakchul Jung, J. Lim, Jaewoo Seo, Ingyum Kim, Jisun Yu, H. You, Jeongsoon Kong, Garoom Kim, Minjae Jeong, Chanhee Park, Sera An, W. Rim, Hayoung Kim, Dalhee Lee, S. Baek, Jonghoon Jung, T. Song, J. Kye
In this paper, standard cell design challenges for the 3nm process are introduced, solved, and optimized using the advanced MOL technology, AC P–N connection. In this methodology, each drain nodes of P and NMOS are connected using a single MOL layer (AC). Utilizing the AC P–N connection, standard cell library can be improved in three different ways. First, reduce the parasitic wire resistance by more than 20% and improve circuit reliability by alleviating a high current density. Second, Ceff improvement by composing only the MOL layer (AC) for the output node of the cell improves the standard cell speed up to 9.6%. Third, we propose a novel Flip-Flop (FF) structure optimized for AC P–N connection, thus improving the speed of the FF (1/TD2Q) by 9.1%.
本文介绍了3nm工艺的标准电池设计挑战,并利用先进的MOL技术,AC P-N连接进行了解决和优化。在这种方法中,P和NMOS的每个漏极节点使用单个MOL层(AC)连接。利用交流P-N连接,标准单元库可以通过三种不同的方式进行改进。首先,将寄生线电阻降低20%以上,并通过减轻高电流密度来提高电路可靠性。其次,通过仅组成电池输出节点的MOL层(AC)来改进Ceff,将标准电池速度提高到9.6%。第三,我们提出了一种针对交流P-N连接优化的新颖触发器(FF)结构,从而将FF (1/TD2Q)的速度提高了9.1%。
{"title":"Standard Cell Design Optimization with Advanced MOL Technology in 3nm GAA Process","authors":"Giyoung Yang, Hakchul Jung, J. Lim, Jaewoo Seo, Ingyum Kim, Jisun Yu, H. You, Jeongsoon Kong, Garoom Kim, Minjae Jeong, Chanhee Park, Sera An, W. Rim, Hayoung Kim, Dalhee Lee, S. Baek, Jonghoon Jung, T. Song, J. Kye","doi":"10.1109/vlsitechnologyandcir46769.2022.9830450","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830450","url":null,"abstract":"In this paper, standard cell design challenges for the 3nm process are introduced, solved, and optimized using the advanced MOL technology, AC P–N connection. In this methodology, each drain nodes of P and NMOS are connected using a single MOL layer (AC). Utilizing the AC P–N connection, standard cell library can be improved in three different ways. First, reduce the parasitic wire resistance by more than 20% and improve circuit reliability by alleviating a high current density. Second, Ceff improvement by composing only the MOL layer (AC) for the output node of the cell improves the standard cell speed up to 9.6%. Third, we propose a novel Flip-Flop (FF) structure optimized for AC P–N connection, thus improving the speed of the FF (1/TD2Q) by 9.1%.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123632859","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Cryogenic CMOS Current Comparator for Spin Qubit Readout Achieving Fast Readout Time and High Current Resolution 一种用于自旋量子位读出的低温CMOS电流比较器,实现快速读出时间和高电流分辨率
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830225
H. Fuketa, I. Akita, T. Ishikawa, H. Koike, T. Mori
Employing a precise current readout circuit enabled by a current integrator and correlation double sampling circuit, we propose a cryo-CMOS current comparator circuit to readout spin qubit states by a charge sensing scheme. The reduced readout time by a factor of 1/100 and 100-times better current resolution with the readout fidelity of 99.9% compared to the conventional readout systems are achieved. Furthermore, the proposed current comparator attains the best figure-of-merit (FoM) among the conventional cryogenic current readout circuits. This is the demonstration that cryo-CMOS technology can enhance the performance of quantum computer systems.
利用电流积分器和相关双采样电路实现的精确电流读出电路,我们提出了一种低温cmos电流比较器电路,通过电荷传感方案读出自旋量子比特状态。与传统的读出系统相比,该系统的读出时间减少了1/100,电流分辨率提高了100倍,读出保真度达到99.9%。此外,所提出的电流比较器在传统的低温电流读出电路中具有最佳的性能因数(FoM)。这证明了低温cmos技术可以提高量子计算机系统的性能。
{"title":"A Cryogenic CMOS Current Comparator for Spin Qubit Readout Achieving Fast Readout Time and High Current Resolution","authors":"H. Fuketa, I. Akita, T. Ishikawa, H. Koike, T. Mori","doi":"10.1109/vlsitechnologyandcir46769.2022.9830225","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830225","url":null,"abstract":"Employing a precise current readout circuit enabled by a current integrator and correlation double sampling circuit, we propose a cryo-CMOS current comparator circuit to readout spin qubit states by a charge sensing scheme. The reduced readout time by a factor of 1/100 and 100-times better current resolution with the readout fidelity of 99.9% compared to the conventional readout systems are achieved. Furthermore, the proposed current comparator attains the best figure-of-merit (FoM) among the conventional cryogenic current readout circuits. This is the demonstration that cryo-CMOS technology can enhance the performance of quantum computer systems.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123718767","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
In-Memory Approximate Computing Architecture Based on 3D-NAND Flash Memories 基于3D-NAND闪存的内存近似计算架构
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830405
P. Tseng, Yu-Hsuan Lin, F. Lee, Tian-Cig Bo, Yung-Chun Li, Ming-Hsiu Lee, K. Hsieh, Keh-Chung Wang, Chih-Yuan Lu
A high performance 3D-NAND-flash based approximate computing architecture is proposed to execute in-memory similarity computation. This approximate-computing chip features fuzzy in-memory search (IMS) function with ultra-high parallelism at full-block scale in just one read cycle. The system architecture from the IMS unit cell/string/array configuration to the novel approximate comparison scheme are discussed in detail. Practical issues including Vt distribution, retention loss, and read disturbance are evaluated. We also introduce a novel IMS group-encoding scheme, which can significantly increase the content density under the same string length. Face recognition with VGGFace2 dataset is demonstrated with high accuracy and good tolerability on reliability degradation.
提出了一种基于3D-NAND-flash的高性能近似计算架构,用于内存相似性计算。这种近似计算芯片具有模糊内存搜索(IMS)功能,在一个读取周期内具有超高的全块并行性。详细讨论了从IMS单元/串/阵列配置到新的近似比较方案的系统架构。实际问题包括Vt分布,保留损失和读取干扰进行了评估。我们还提出了一种新的IMS组编码方案,在相同的字符串长度下,可以显著提高内容密度。利用VGGFace2数据集进行人脸识别具有较高的准确率和良好的可靠性退化容忍度。
{"title":"In-Memory Approximate Computing Architecture Based on 3D-NAND Flash Memories","authors":"P. Tseng, Yu-Hsuan Lin, F. Lee, Tian-Cig Bo, Yung-Chun Li, Ming-Hsiu Lee, K. Hsieh, Keh-Chung Wang, Chih-Yuan Lu","doi":"10.1109/vlsitechnologyandcir46769.2022.9830405","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830405","url":null,"abstract":"A high performance 3D-NAND-flash based approximate computing architecture is proposed to execute in-memory similarity computation. This approximate-computing chip features fuzzy in-memory search (IMS) function with ultra-high parallelism at full-block scale in just one read cycle. The system architecture from the IMS unit cell/string/array configuration to the novel approximate comparison scheme are discussed in detail. Practical issues including Vt distribution, retention loss, and read disturbance are evaluated. We also introduce a novel IMS group-encoding scheme, which can significantly increase the content density under the same string length. Face recognition with VGGFace2 dataset is demonstrated with high accuracy and good tolerability on reliability degradation.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123789205","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 97.6%-Efficient 1-2MHz Hysteretic Buck Converter with 7V/μs DVS-Rate Enabled by Isosceles-Triangular Shunt Current Push-Pull Technique 采用等腰三角形分流电流推挽技术实现7V/μs dvs速率的97.6%效率1-2MHz滞回降压变换器
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830181
H. Bae, Jeong-Hyun Cho, Gyeong-Gu Kang, Yousung Park, Hyunsik Kim
This paper presents a fast dynamic voltage scaling (DVS) buck converter without losing high efficiency. The proposed isosceles-triangular shunt current (ITSC) push-pull technique allows a turning-point for optimal DVS to be independent of passive components while supplying sufficient current of 35A/μs. Current-tailing handover (CTH) realizes no voltage droop after DVS even under resistive loads. ITSC and CTH can also enhance load-transient response. The chip fabricated in 180-nm CMOS achieves 7V/μs DVS-rate and 97.6% peak efficiency.
提出了一种不损失高效率的快速动态电压缩放降压变换器。提出的等腰三角形分流电流(ITSC)推挽技术允许最佳DVS的转折点独立于无源元件,同时提供35A/μs的足够电流。电流尾切换(CTH)即使在电阻性负载下,也能实现无电压下降。ITSC和CTH也可以增强载荷的瞬态响应。该芯片采用180nm CMOS工艺,实现了7V/μs的dvs速率和97.6%的峰值效率。
{"title":"A 97.6%-Efficient 1-2MHz Hysteretic Buck Converter with 7V/μs DVS-Rate Enabled by Isosceles-Triangular Shunt Current Push-Pull Technique","authors":"H. Bae, Jeong-Hyun Cho, Gyeong-Gu Kang, Yousung Park, Hyunsik Kim","doi":"10.1109/vlsitechnologyandcir46769.2022.9830181","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830181","url":null,"abstract":"This paper presents a fast dynamic voltage scaling (DVS) buck converter without losing high efficiency. The proposed isosceles-triangular shunt current (ITSC) push-pull technique allows a turning-point for optimal DVS to be independent of passive components while supplying sufficient current of 35A/μs. Current-tailing handover (CTH) realizes no voltage droop after DVS even under resistive loads. ITSC and CTH can also enhance load-transient response. The chip fabricated in 180-nm CMOS achieves 7V/μs DVS-rate and 97.6% peak efficiency.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131459088","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A Monolithic 48V-to-1V 10A Quadruple Step-Down DC-DC Converter with Hysteretic Copied On-Time 4-Phase Control and 2× Slew Rate All-Hysteretic Mode 一种单片48v - 1v 10A四倍降压DC-DC变换器,具有迟滞复制准时4相控制和2倍摆率全迟滞模式
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830233
Hyunki Han, Min-Woo Ko, Jeong-Hyun Cho, Gyeong-Gu Kang, Seok-Tae Koh, H. Bae, Hyunsik Kim
This paper presents a 48V-to-1V quadruple step-down (QSD) DC-DC converter. The QSD comprising 4 parallel-inductors and 3 series-capacitors can efficiently supply up to 10A with fully monolithic 12V LDMOS by lowering the switching voltage to be quartered. The hysteretic copied on-time (HCOT) control allows clockless synchronization of 4-phase QSD without collapsing series-capacitor voltages. The 2-phase all-hysteretic (2× slew rate) mode is also presented for voltage droop mitigation under extreme load fluctuations. The chip fabricated in 0.18-μm BCD shows a peak efficiency of 88.5% and achieves ∆80mV sag and 1μs 2%-recovery time for a 6.3A/50ns load transition.
本文介绍了一种48v - 1v四次降压(QSD) DC-DC变换器。QSD由4个并联电感和3个串联电容组成,通过降低开关电压四分之一,可以有效地为全单片12V LDMOS提供高达10A的电源。滞回复制准时(HCOT)控制允许4相QSD无时钟同步,而不会崩溃串联电容器电压。在极端负载波动情况下,还提出了两相全滞后(2x摆率)模式来缓解电压下降。在0.18 μm BCD中制备的芯片,在6.3A/50ns负载转换时,效率峰值为88.5%,sag为∆80mV,恢复时间为1μs。
{"title":"A Monolithic 48V-to-1V 10A Quadruple Step-Down DC-DC Converter with Hysteretic Copied On-Time 4-Phase Control and 2× Slew Rate All-Hysteretic Mode","authors":"Hyunki Han, Min-Woo Ko, Jeong-Hyun Cho, Gyeong-Gu Kang, Seok-Tae Koh, H. Bae, Hyunsik Kim","doi":"10.1109/vlsitechnologyandcir46769.2022.9830233","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830233","url":null,"abstract":"This paper presents a 48V-to-1V quadruple step-down (QSD) DC-DC converter. The QSD comprising 4 parallel-inductors and 3 series-capacitors can efficiently supply up to 10A with fully monolithic 12V LDMOS by lowering the switching voltage to be quartered. The hysteretic copied on-time (HCOT) control allows clockless synchronization of 4-phase QSD without collapsing series-capacitor voltages. The 2-phase all-hysteretic (2× slew rate) mode is also presented for voltage droop mitigation under extreme load fluctuations. The chip fabricated in 0.18-μm BCD shows a peak efficiency of 88.5% and achieves ∆80mV sag and 1μs 2%-recovery time for a 6.3A/50ns load transition.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126316733","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A 31-Feature, 80nW, 0.53mm2 Audio Analog Feature Extractor based on Time-Mode Analog Filterbank Interpolation and Time-Mode Analog Rectification 基于时间模模拟滤波器组插值和时间模模拟整流的31特征、80nW、0.53mm2音频模拟特征提取器
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830455
S. Ray, P. Kinget
To alleviate the feature extraction bottleneck in always-on, on-device Keyword Spotting (KWS), we propose two novel analog circuit techniques that are combined into an efficient analog feature extraction architecture: 1) Time-Mode Analog Filterbank Interpolation (TM-AFI) uses digital XOR gates to double the number of outputs of an analog filterbank, 2) Time-Mode Analog Rectification (TM-AR) uses a single digital XOR gate as an analog full-wave rectifier. Among other analog feature extractor chips using a software classifier for a KWS demo, the 31-feature, 80nW, 0.53mm2 prototype is 18× more power-efficient and 3.3× more area-efficient than the most area- and power-efficient published works, respectively, while maintaining competitive >90% accuracy on 10 keywords.
为了缓解始终在线、设备上关键字定位(KWS)中的特征提取瓶颈,我们提出了两种新的模拟电路技术,它们被组合成一个有效的模拟特征提取架构:1)时模模拟滤波器组插值(TM-AFI)使用数字异或门将模拟滤波器组的输出数量增加一倍,2)时模模拟整流(TM-AR)使用单个数字异或门作为模拟全波整流器。在KWS演示中使用软件分类器的其他模拟特征提取芯片中,31个特征、80nW、0.53mm2的原型比大多数面积效率和功耗效率高18倍,面积效率高3.3倍,同时在10个关键词上保持超过90%的准确率。
{"title":"A 31-Feature, 80nW, 0.53mm2 Audio Analog Feature Extractor based on Time-Mode Analog Filterbank Interpolation and Time-Mode Analog Rectification","authors":"S. Ray, P. Kinget","doi":"10.1109/vlsitechnologyandcir46769.2022.9830455","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830455","url":null,"abstract":"To alleviate the feature extraction bottleneck in always-on, on-device Keyword Spotting (KWS), we propose two novel analog circuit techniques that are combined into an efficient analog feature extraction architecture: 1) Time-Mode Analog Filterbank Interpolation (TM-AFI) uses digital XOR gates to double the number of outputs of an analog filterbank, 2) Time-Mode Analog Rectification (TM-AR) uses a single digital XOR gate as an analog full-wave rectifier. Among other analog feature extractor chips using a software classifier for a KWS demo, the 31-feature, 80nW, 0.53mm2 prototype is 18× more power-efficient and 3.3× more area-efficient than the most area- and power-efficient published works, respectively, while maintaining competitive >90% accuracy on 10 keywords.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122335007","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
First demonstration of Two Metal Level Semi-damascene Interconnects with Fully Self-aligned Vias at 18MP 首次演示两个金属级半大马士革互连与完全自对准过孔在18MP
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830150
G. Murdoch, M. O'Toole, G. Marti, A. Pokhrel, D. Tsvetanova, S. Decoster, S. Kundu, Y. Oniki, A. Thiam, Q. T. Le, O. Pedreira, A. Lesniewska, G. Martinez-Alanis, S. Park, Z. Tokei
In this paper we demonstrate the functionality of a semi-damascene integration scheme with fully self-aligned vias (FSAV) for interconnects from 26 to 18nm metal pitch (MP), fabricated on 300mm wafers. We have developed a novel integration flow, using the principle of subtractive etching of Ru, on 2 subsequent metal levels. Using structures with programmed overlay shift, we demonstrate the functionality of a fully self-aligned via process which results in working devices with placement errors of up to 5nm. Furthermore, we show via-to-line breakdown field > 9MV/cm, confirming FSAV.
在本文中,我们展示了半damascene集成方案的功能,该方案具有完全自对准过孔(FSAV),用于在300mm晶圆上制造的26至18nm金属间距(MP)的互连。我们开发了一种新的集成流程,使用Ru的减法蚀刻原理,在2个随后的金属水平上。使用具有编程覆盖移位的结构,我们展示了完全自对准的通孔工艺的功能,其结果是工作器件的放置误差高达5nm。此外,我们显示过线击穿场> 9MV/cm,证实了FSAV。
{"title":"First demonstration of Two Metal Level Semi-damascene Interconnects with Fully Self-aligned Vias at 18MP","authors":"G. Murdoch, M. O'Toole, G. Marti, A. Pokhrel, D. Tsvetanova, S. Decoster, S. Kundu, Y. Oniki, A. Thiam, Q. T. Le, O. Pedreira, A. Lesniewska, G. Martinez-Alanis, S. Park, Z. Tokei","doi":"10.1109/vlsitechnologyandcir46769.2022.9830150","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830150","url":null,"abstract":"In this paper we demonstrate the functionality of a semi-damascene integration scheme with fully self-aligned vias (FSAV) for interconnects from 26 to 18nm metal pitch (MP), fabricated on 300mm wafers. We have developed a novel integration flow, using the principle of subtractive etching of Ru, on 2 subsequent metal levels. Using structures with programmed overlay shift, we demonstrate the functionality of a fully self-aligned via process which results in working devices with placement errors of up to 5nm. Furthermore, we show via-to-line breakdown field > 9MV/cm, confirming FSAV.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"120849522","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
期刊
2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1