首页 > 最新文献

2013 IEEE International Conference of Electron Devices and Solid-state Circuits最新文献

英文 中文
A ring oscillator based reliability structure for NBTI & PBTI measurement 一种基于环形振荡器的NBTI和PBTI测量可靠性结构
Pub Date : 2013-06-03 DOI: 10.1109/EDSSC.2013.6628048
Xiqing Wang, J. Hong, Yandong He, Ganggang Zhang, Lin Han, Xing Zhang
A ring oscillator based structure in digital circuits is presented for measuring NBTI and PBTI effects. The proposed test structure enables simultaneous stress of all devices under tests in either NBTI or PBTI mode and measures frequency degradation or the threshold voltage shift. The threshold voltage shift due to NBTI or PBTI can be directly read out in the proposed circuit which has been designed in a 1.2V, 90nm technology.
提出了一种基于环形振荡器的数字电路结构,用于测量NBTI和PBTI效应。所提出的测试结构能够在NBTI或PBTI模式下同时测试所有设备的应力,并测量频率退化或阈值电压偏移。该电路采用1.2V、90nm工艺设计,可直接读出由NBTI或PBTI引起的阈值电压偏移。
{"title":"A ring oscillator based reliability structure for NBTI & PBTI measurement","authors":"Xiqing Wang, J. Hong, Yandong He, Ganggang Zhang, Lin Han, Xing Zhang","doi":"10.1109/EDSSC.2013.6628048","DOIUrl":"https://doi.org/10.1109/EDSSC.2013.6628048","url":null,"abstract":"A ring oscillator based structure in digital circuits is presented for measuring NBTI and PBTI effects. The proposed test structure enables simultaneous stress of all devices under tests in either NBTI or PBTI mode and measures frequency degradation or the threshold voltage shift. The threshold voltage shift due to NBTI or PBTI can be directly read out in the proposed circuit which has been designed in a 1.2V, 90nm technology.","PeriodicalId":333267,"journal":{"name":"2013 IEEE International Conference of Electron Devices and Solid-state Circuits","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-06-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125591929","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Circuits for data communication through DC power line in solar farm 太阳能电站直流电力线数据通信电路
Pub Date : 2013-06-03 DOI: 10.1109/EDSSC.2013.6628167
P. Sirinamaratana, E. Leelarasmee
A DC series connection of photo-voltaic panels in Solar with the converter can b e treated as forming a DC loop. This allows AC current signal to flow and become carrier for data transmission. Circuits that implement this approach are presented. This technique does not require additional wires or radio frequency link.
太阳能光伏板与变换器的直流串联连接可视为形成直流回路。这使得交流电流信号流动并成为数据传输的载体。给出了实现这种方法的电路。这种技术不需要额外的电线或射频链路。
{"title":"Circuits for data communication through DC power line in solar farm","authors":"P. Sirinamaratana, E. Leelarasmee","doi":"10.1109/EDSSC.2013.6628167","DOIUrl":"https://doi.org/10.1109/EDSSC.2013.6628167","url":null,"abstract":"A DC series connection of photo-voltaic panels in Solar with the converter can b e treated as forming a DC loop. This allows AC current signal to flow and become carrier for data transmission. Circuits that implement this approach are presented. This technique does not require additional wires or radio frequency link.","PeriodicalId":333267,"journal":{"name":"2013 IEEE International Conference of Electron Devices and Solid-state Circuits","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-06-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125751072","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Zn-doped Zr oxynitride as charge-trapping layer for flash memory applications 掺锌氧化氮化锆作为电荷捕获层在快闪存储器中的应用
Pub Date : 2013-06-03 DOI: 10.1109/EDSSC.2013.6628064
Q. Tao, P. Lai
In this work, we proposed Zn-doped Zr oxynitride (ZrZnON) as a new charge-trapping layer for flash memory applications and investigated its memory characteristics based on the capacitor structure of Al/Al2O3/ZrZnON/SiO2/Si. The high-K dielectric film, ZrON, was used as the control group. The effects of incorporating ZnO in ZrON were studied by comparing the differences of memory properties between the two charge-trapping layers. Measured data showed that the memory device containing ZrZnON had much larger C-V hysteresis window, higher programming/erasing speeds and much better charge retention properties than the one containing ZrON. These improvements should result from charge traps created by ZnO incorporation and deeper quantum wells built by the band-gap alignment of ZrZnON to the SiO2 tunnel layer and Al2O3 blocking layer.
在这项工作中,我们提出了锌掺杂氧化氮化锆(ZrZnON)作为一种新的电荷捕获层用于闪存应用,并基于Al/Al2O3/ZrZnON/SiO2/Si的电容器结构研究了其存储特性。以高k介电膜ZrON作为对照组。通过比较两种电荷捕获层的记忆性能差异,研究了ZnO对ZrON的影响。测量数据表明,与ZrON相比,ZrZnON具有更大的C-V滞后窗口、更高的编程/擦除速度和更好的电荷保留性能。这些改进可能是由于ZnO掺入产生的电荷陷阱和ZrZnON与SiO2隧道层和Al2O3阻挡层的带隙排列所建立的更深的量子阱。
{"title":"Zn-doped Zr oxynitride as charge-trapping layer for flash memory applications","authors":"Q. Tao, P. Lai","doi":"10.1109/EDSSC.2013.6628064","DOIUrl":"https://doi.org/10.1109/EDSSC.2013.6628064","url":null,"abstract":"In this work, we proposed Zn-doped Zr oxynitride (ZrZnON) as a new charge-trapping layer for flash memory applications and investigated its memory characteristics based on the capacitor structure of Al/Al2O3/ZrZnON/SiO2/Si. The high-K dielectric film, ZrON, was used as the control group. The effects of incorporating ZnO in ZrON were studied by comparing the differences of memory properties between the two charge-trapping layers. Measured data showed that the memory device containing ZrZnON had much larger C-V hysteresis window, higher programming/erasing speeds and much better charge retention properties than the one containing ZrON. These improvements should result from charge traps created by ZnO incorporation and deeper quantum wells built by the band-gap alignment of ZrZnON to the SiO2 tunnel layer and Al2O3 blocking layer.","PeriodicalId":333267,"journal":{"name":"2013 IEEE International Conference of Electron Devices and Solid-state Circuits","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-06-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130172879","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Study on the theorem of pits created in 12-inch raw wafering 12英寸原晶圆产生凹坑定理的研究
Pub Date : 2013-06-03 DOI: 10.1109/EDSSC.2013.6628097
Po-Ying Chen, W. Yeh
The effect of crystal-originated particles (COPs) on ultra-thin gate oxide for recent ultra large-scale integration (ULSI) devices were studied. Various Czochralski (CZ) silicon wafers were prepared by controlling the pulling speed of silicon ingots to determine the relationships between COPs and the breakdown characteristics of the ultra thin-gate oxide. The distribution of COPs, measured by optical shallow defect analysis and the use of a particle counter, was compared with the results of time-independent dielectric breakdown (TZDB), time-dependent dielectric breakdown (TDDB) and stress-induced leakage current (SILC) for gate oxides with thicknesses of 2.5 -5 nm. The results reveal no appreciable oxide degradation below an oxide thickness of approximately 3 nm; above this threshold value, the defect density depends strongly on the presence of crystal-originated particles. The COPs are a major factor in the degradation of ultra-thin gate oxide (less than 5 nm) in ULSI devices.
研究了晶体源粒子(cop)对超薄栅极氧化物的影响。通过控制硅锭的拉拔速度,制备了多种CZ型硅晶片,确定了COPs与超薄栅氧化物击穿特性的关系。利用光学浅缺陷分析和粒子计数器测量了cop的分布,并与厚度为2.5 ~ 5 nm栅极氧化物的时间无关介电击穿(TZDB)、时间相关介电击穿(TDDB)和应力诱导泄漏电流(SILC)的结果进行了比较。结果表明,在约3nm的厚度以下,没有明显的氧化物降解;在这个阈值以上,缺陷密度很大程度上取决于晶体起源粒子的存在。cop是ULSI器件中超薄栅极氧化物(小于5纳米)降解的主要因素。
{"title":"Study on the theorem of pits created in 12-inch raw wafering","authors":"Po-Ying Chen, W. Yeh","doi":"10.1109/EDSSC.2013.6628097","DOIUrl":"https://doi.org/10.1109/EDSSC.2013.6628097","url":null,"abstract":"The effect of crystal-originated particles (COPs) on ultra-thin gate oxide for recent ultra large-scale integration (ULSI) devices were studied. Various Czochralski (CZ) silicon wafers were prepared by controlling the pulling speed of silicon ingots to determine the relationships between COPs and the breakdown characteristics of the ultra thin-gate oxide. The distribution of COPs, measured by optical shallow defect analysis and the use of a particle counter, was compared with the results of time-independent dielectric breakdown (TZDB), time-dependent dielectric breakdown (TDDB) and stress-induced leakage current (SILC) for gate oxides with thicknesses of 2.5 -5 nm. The results reveal no appreciable oxide degradation below an oxide thickness of approximately 3 nm; above this threshold value, the defect density depends strongly on the presence of crystal-originated particles. The COPs are a major factor in the degradation of ultra-thin gate oxide (less than 5 nm) in ULSI devices.","PeriodicalId":333267,"journal":{"name":"2013 IEEE International Conference of Electron Devices and Solid-state Circuits","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-06-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130161001","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A novel hydrogen sensor based on Pt/WO3/Si MIS Schottky diode 基于Pt/WO3/Si MIS肖特基二极管的新型氢传感器
Pub Date : 2013-06-03 DOI: 10.1109/EDSSC.2013.6628054
Y. Liu, J. Yu, F. Cai, W. Tang, P. Lai
In this work, we investigate the static and dynamic gas response of Schottky diode based hydrogen sensor employing a Pt/WO3/n-type Si configuration. The role and importance of tungsten trioxide as an insulating layer within the device is discussed with respect to the measured electronic properties. The WO3 thin films were deposited using RF reactive magnetron sputtering. The surface morphology was studied by an atomic force microscopy (AFM) and the scan results indicated a smooth film with a roughness of 0.18 Å. From the X-ray photoelectron spectroscopy (XPS) characterization, it can be confirmed that the films were stoichiometric WO3 with a thickness of about 4 nm (as measured by an ellipsometer). The I-V characteristics and dynamic response with respect to H2 gas were measured at elevated temperatures from 50°C to 150°C and the results indicate that the H2 sensitivity of this device can exceed approximately 1000 % with an average response time of less than 10 seconds. We discuss and explain these observations in terms of current transportation mechanisms using the thermionic emission model and the change in the Schottky barrier height.
在这项工作中,我们研究了采用Pt/WO3/n型Si结构的肖特基二极管氢传感器的静态和动态气体响应。讨论了三氧化钨作为绝缘层在器件中的作用和重要性。采用射频反应磁控溅射法制备了WO3薄膜。通过原子力显微镜(AFM)对其表面形貌进行了研究,扫描结果表明其表面光滑,粗糙度为0.18 Å。通过x射线光电子能谱(XPS)表征,可以确定薄膜为WO3,厚度约为4 nm(椭偏仪测量)。在50 ~ 150℃的高温下,测量了H2气体的I-V特性和动态响应,结果表明,该装置的H2灵敏度可超过约1000%,平均响应时间小于10秒。我们利用热离子发射模型和肖特基势垒高度的变化,从当前输运机制的角度讨论和解释了这些观测结果。
{"title":"A novel hydrogen sensor based on Pt/WO3/Si MIS Schottky diode","authors":"Y. Liu, J. Yu, F. Cai, W. Tang, P. Lai","doi":"10.1109/EDSSC.2013.6628054","DOIUrl":"https://doi.org/10.1109/EDSSC.2013.6628054","url":null,"abstract":"In this work, we investigate the static and dynamic gas response of Schottky diode based hydrogen sensor employing a Pt/WO3/n-type Si configuration. The role and importance of tungsten trioxide as an insulating layer within the device is discussed with respect to the measured electronic properties. The WO3 thin films were deposited using RF reactive magnetron sputtering. The surface morphology was studied by an atomic force microscopy (AFM) and the scan results indicated a smooth film with a roughness of 0.18 Å. From the X-ray photoelectron spectroscopy (XPS) characterization, it can be confirmed that the films were stoichiometric WO3 with a thickness of about 4 nm (as measured by an ellipsometer). The I-V characteristics and dynamic response with respect to H2 gas were measured at elevated temperatures from 50°C to 150°C and the results indicate that the H2 sensitivity of this device can exceed approximately 1000 % with an average response time of less than 10 seconds. We discuss and explain these observations in terms of current transportation mechanisms using the thermionic emission model and the change in the Schottky barrier height.","PeriodicalId":333267,"journal":{"name":"2013 IEEE International Conference of Electron Devices and Solid-state Circuits","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-06-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128660212","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Fabrication of monolithic integrated MEMS resonator with wet-release-monitoring array 带湿释放监测阵列的单片集成MEMS谐振器的研制
Pub Date : 2013-06-03 DOI: 10.1109/EDSSC.2013.6628207
D. Zhao, Jun He, Xian Huang, Li Zhang, Fang Yang, Dacheng Zhang
In this work, a monolithic integrated MEMS resonator was fabricated and tested. Surface micromachining method was employed to fabricate the cantilever MEMS resonator after a standard 3 μm CMOS process. The wet release method with dilute HF solution was chosen and compared to the anhydrous HF vapor release process. A release-monitoring structure with polysilicon/Au cantilever array was used to determine the corrosion time of the sacrificial material. Results showed that the MOSFETs function well after proposed release process.
在这项工作中,制作了一个单片集成MEMS谐振器并进行了测试。采用表面微加工的方法,采用标准的3 μm CMOS工艺制作悬臂式MEMS谐振器。选择了稀释HF溶液的湿释放法,并与无水HF蒸汽释放法进行了比较。采用多晶硅/金悬臂阵列释放监测结构来测定牺牲材料的腐蚀时间。实验结果表明,采用该释放工艺后,mosfet的性能良好。
{"title":"Fabrication of monolithic integrated MEMS resonator with wet-release-monitoring array","authors":"D. Zhao, Jun He, Xian Huang, Li Zhang, Fang Yang, Dacheng Zhang","doi":"10.1109/EDSSC.2013.6628207","DOIUrl":"https://doi.org/10.1109/EDSSC.2013.6628207","url":null,"abstract":"In this work, a monolithic integrated MEMS resonator was fabricated and tested. Surface micromachining method was employed to fabricate the cantilever MEMS resonator after a standard 3 μm CMOS process. The wet release method with dilute HF solution was chosen and compared to the anhydrous HF vapor release process. A release-monitoring structure with polysilicon/Au cantilever array was used to determine the corrosion time of the sacrificial material. Results showed that the MOSFETs function well after proposed release process.","PeriodicalId":333267,"journal":{"name":"2013 IEEE International Conference of Electron Devices and Solid-state Circuits","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-06-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121214363","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Investigation of substrate resistance and inductance on deep trench capacitor for RF application 射频用深沟电容器基板电阻和电感的研究
Pub Date : 2013-06-03 DOI: 10.1109/EDSSC.2013.6628238
Vikash Kumar, A. Aminulloh, Shao-Ming Yang, G. Sheu
A circuit analysis is introduced to detect the value of the substrate resistance and the capacitance, which is mainly caused by p-n junction diode. The effect of the substrate resistance on RF application is reported in this paper. When trench number increases the substrate resistance decreases. Effect of the inductance on the capacitor is also investigated. The inductance should be low value in the high frequency range to minimize inductance effect for Radio Frequency (RF) application. A two-port admittance parameter is used to extract the value of capacitance, inductance and substrate resistance.
介绍了一种检测衬底电阻值和电容值的电路分析方法,主要是由pn结二极管引起的。本文报道了衬底电阻对射频应用的影响。当沟槽数增加时,衬底电阻减小。研究了电感对电容的影响。在射频(RF)应用中,为使电感效应最小化,在高频范围内电感值应尽量小。采用双端口导纳参数提取电容、电感和衬底电阻的值。
{"title":"Investigation of substrate resistance and inductance on deep trench capacitor for RF application","authors":"Vikash Kumar, A. Aminulloh, Shao-Ming Yang, G. Sheu","doi":"10.1109/EDSSC.2013.6628238","DOIUrl":"https://doi.org/10.1109/EDSSC.2013.6628238","url":null,"abstract":"A circuit analysis is introduced to detect the value of the substrate resistance and the capacitance, which is mainly caused by p-n junction diode. The effect of the substrate resistance on RF application is reported in this paper. When trench number increases the substrate resistance decreases. Effect of the inductance on the capacitor is also investigated. The inductance should be low value in the high frequency range to minimize inductance effect for Radio Frequency (RF) application. A two-port admittance parameter is used to extract the value of capacitance, inductance and substrate resistance.","PeriodicalId":333267,"journal":{"name":"2013 IEEE International Conference of Electron Devices and Solid-state Circuits","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-06-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125965903","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 60-GHz broadband Gilbert-cell down conversion mixer in a 65-nm CMOS 65纳米CMOS中的60 ghz宽带吉尔伯特单元下变频混频器
Pub Date : 2013-06-03 DOI: 10.1109/EDSSC.2013.6628077
Jun Shi, Lianming Li, T. Cui
This paper presents a 60 GHz broadband Gilbert-cell down conversion mixer in a 65 nm CMOS. To enhance the mixer gain, bandwidth, and noise performance, an inter-stage inductor is introduced between the switching pair and the transconductance stage. Driven by a 48 GHz 0-dBm LO, the mixer achieves a conversion gain of 14 dB. The measured IF 3dB bandwidth is about 4GHz and the input 1dB compression point is about -10dBm. Besides, simulation results show that the noise figure is lower than 12 dB and the input referred IP3 point is about 2.5 dBm. The mixer draws 11mA (Gilbert-cell) and 21mA (IF buffer) from a 1.2V supply.
本文提出了一种基于65纳米CMOS的60 GHz宽带吉尔伯特单元下变频混频器。为了提高混频器的增益、带宽和噪声性能,在开关对和跨导级之间引入了级间电感器。由48ghz 0-dBm LO驱动,混频器实现了14db的转换增益。测量的中频3dB带宽约为4GHz,输入1dB压缩点约为-10dBm。仿真结果表明,噪声系数小于12 dB,输入参考IP3点约为2.5 dBm。混合器从1.2V电源提取11mA(吉尔伯特单元)和21mA(中频缓冲)。
{"title":"A 60-GHz broadband Gilbert-cell down conversion mixer in a 65-nm CMOS","authors":"Jun Shi, Lianming Li, T. Cui","doi":"10.1109/EDSSC.2013.6628077","DOIUrl":"https://doi.org/10.1109/EDSSC.2013.6628077","url":null,"abstract":"This paper presents a 60 GHz broadband Gilbert-cell down conversion mixer in a 65 nm CMOS. To enhance the mixer gain, bandwidth, and noise performance, an inter-stage inductor is introduced between the switching pair and the transconductance stage. Driven by a 48 GHz 0-dBm LO, the mixer achieves a conversion gain of 14 dB. The measured IF 3dB bandwidth is about 4GHz and the input 1dB compression point is about -10dBm. Besides, simulation results show that the noise figure is lower than 12 dB and the input referred IP3 point is about 2.5 dBm. The mixer draws 11mA (Gilbert-cell) and 21mA (IF buffer) from a 1.2V supply.","PeriodicalId":333267,"journal":{"name":"2013 IEEE International Conference of Electron Devices and Solid-state Circuits","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-06-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125247028","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
High-quality HfSiON gate dielectric and its application in a gate-last NMOSFET fabrication 高质量的HfSiON栅极电介质及其在栅极NMOSFET制造中的应用
Pub Date : 2013-06-03 DOI: 10.1109/EDSSC.2013.6628205
Gaobo Xu, Qiuxia Xu, H. Yin, Huajie Zhou, Tao Yang, J. Niu, Lingkuan Meng, Xiaobin He, Guilei Wang, Yu Jiahan, Dahai Wang, Junfeng Li, Jiang Yan, Chao Zhao, Dapeng Chen
HfSiON gate dielectric with equivalent oxide thickness of 10Å was prepared by reactive sputtering. It exhibits good physical and electrical characteristics, including good thermal stability up to 900°C, high dielectric constant and low gate leakage current. It was integrated with TaN metal gate in a novel gate-last process flow to fabricate NMOSFET. In the process, poly-silicon was deposited on HfSiON gate dielectric as dummy gate and replaced by TaN metal gate after source/drain formation. Because of the metal gate formation after the ion-implant doping activation at high temperature, HfSiON/TaN NMOSFET with good driving ability and excellent sub-threshold characteristics was fabricated.
采用反应溅射法制备了等效氧化厚度为10Å的HfSiON栅极电介质。它具有良好的物理和电气特性,包括高达900°C的良好热稳定性,高介电常数和低栅漏电流。将其与TaN金属栅极集成在一起,采用一种新颖的栅末工艺流程来制造NMOSFET。在此过程中,在HfSiON栅极介质上沉积多晶硅作为虚拟栅极,源漏形成后用TaN金属栅极代替。由于离子植入物在高温激活后形成金属栅,制备出具有良好驱动能力和优异亚阈值特性的HfSiON/TaN NMOSFET。
{"title":"High-quality HfSiON gate dielectric and its application in a gate-last NMOSFET fabrication","authors":"Gaobo Xu, Qiuxia Xu, H. Yin, Huajie Zhou, Tao Yang, J. Niu, Lingkuan Meng, Xiaobin He, Guilei Wang, Yu Jiahan, Dahai Wang, Junfeng Li, Jiang Yan, Chao Zhao, Dapeng Chen","doi":"10.1109/EDSSC.2013.6628205","DOIUrl":"https://doi.org/10.1109/EDSSC.2013.6628205","url":null,"abstract":"HfSiON gate dielectric with equivalent oxide thickness of 10Å was prepared by reactive sputtering. It exhibits good physical and electrical characteristics, including good thermal stability up to 900°C, high dielectric constant and low gate leakage current. It was integrated with TaN metal gate in a novel gate-last process flow to fabricate NMOSFET. In the process, poly-silicon was deposited on HfSiON gate dielectric as dummy gate and replaced by TaN metal gate after source/drain formation. Because of the metal gate formation after the ion-implant doping activation at high temperature, HfSiON/TaN NMOSFET with good driving ability and excellent sub-threshold characteristics was fabricated.","PeriodicalId":333267,"journal":{"name":"2013 IEEE International Conference of Electron Devices and Solid-state Circuits","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-06-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125321087","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 1.2V 84dB 8mW time-interleaved sample and hold circuit in 90 nm CMOS 一个1.2V 84dB 8mW时间交错采样和保持电路在90 nm CMOS
Pub Date : 2013-06-03 DOI: 10.1109/EDSSC.2013.6628204
A. Zjajo
This paper reports design, efficiency and measurement results of time interleaved sample and hold circuit based on closed loop switched capacitor technique. The prototype sample and hold with 84 dB dynamic range at 120 MS/s has been fabricated in standard single poly, six metal 90 nm CMOS, consumes only 8 mW at 1.2 V power supply and measures 0.22 mm2.
本文报道了一种基于闭环开关电容技术的时间交错采样保持电路的设计、效率和测量结果。在120 MS/s下具有84 dB动态范围的原型样品和保持器是在标准的单聚,六金属90 nm CMOS上制造的,在1.2 V电源下仅消耗8 mW,尺寸为0.22 mm2。
{"title":"A 1.2V 84dB 8mW time-interleaved sample and hold circuit in 90 nm CMOS","authors":"A. Zjajo","doi":"10.1109/EDSSC.2013.6628204","DOIUrl":"https://doi.org/10.1109/EDSSC.2013.6628204","url":null,"abstract":"This paper reports design, efficiency and measurement results of time interleaved sample and hold circuit based on closed loop switched capacitor technique. The prototype sample and hold with 84 dB dynamic range at 120 MS/s has been fabricated in standard single poly, six metal 90 nm CMOS, consumes only 8 mW at 1.2 V power supply and measures 0.22 mm2.","PeriodicalId":333267,"journal":{"name":"2013 IEEE International Conference of Electron Devices and Solid-state Circuits","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-06-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128776638","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
2013 IEEE International Conference of Electron Devices and Solid-state Circuits
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1