首页 > 最新文献

2013 IEEE International Interconnect Technology Conference - IITC最新文献

英文 中文
Early screening method of chip-package interaction for multi-layer Cu/low-k structure using high load indentation test 基于高负载压痕试验的多层Cu/低k结构芯片封装相互作用早期筛选方法
Pub Date : 2013-09-30 DOI: 10.1109/IITC.2013.6615568
T. Usami, Tomoyuki Nakamura, I. Yashima
We have developed High Load Indentation (HiLI) test as a novel early screening method of Chip-Package Interaction (CPI) for multi-layer Cu/Low-k interconnects structure with bumps. In this study, by using HiLI test, we evaluated a lower fracture toughness SiCOH (Low-k), a thicker under bump metallization (UBM) and a plasma-damaged polyimide (PI) around these bumps, whose white bump failures relatively tend to occur compared to the standard structure. We found that both these in-situ load profiles and observations after the test corresponded with these white bump failures. In addition, we compared between a polished bump structure and an un-polished bump one by the test.
我们开发了高负载压痕(HiLI)测试,作为具有凸起的多层Cu/Low-k互连结构的芯片封装相互作用(CPI)的一种新的早期筛选方法。在本研究中,通过HiLI测试,我们评估了较低断裂韧性的SiCOH (Low-k),较厚的凹凸金属化(UBM)和等离子体损伤的聚酰亚胺(PI),与标准结构相比,它们相对容易发生白色凹凸失效。我们发现,这些原位载荷分布和试验后的观察结果都与这些白色凸起失效相对应。此外,我们通过测试比较了经过抛光的凹凸结构和未经抛光的凹凸结构。
{"title":"Early screening method of chip-package interaction for multi-layer Cu/low-k structure using high load indentation test","authors":"T. Usami, Tomoyuki Nakamura, I. Yashima","doi":"10.1109/IITC.2013.6615568","DOIUrl":"https://doi.org/10.1109/IITC.2013.6615568","url":null,"abstract":"We have developed High Load Indentation (HiLI) test as a novel early screening method of Chip-Package Interaction (CPI) for multi-layer Cu/Low-k interconnects structure with bumps. In this study, by using HiLI test, we evaluated a lower fracture toughness SiCOH (Low-k), a thicker under bump metallization (UBM) and a plasma-damaged polyimide (PI) around these bumps, whose white bump failures relatively tend to occur compared to the standard structure. We found that both these in-situ load profiles and observations after the test corresponded with these white bump failures. In addition, we compared between a polished bump structure and an un-polished bump one by the test.","PeriodicalId":6377,"journal":{"name":"2013 IEEE International Interconnect Technology Conference - IITC","volume":"43 1","pages":"1-3"},"PeriodicalIF":0.0,"publicationDate":"2013-09-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77545297","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Tier-independent microfluidic cooling for heterogeneous 3D ICs with nonuniform power dissipation 非均匀功耗非均质3D集成电路的分层微流控冷却
Pub Date : 2013-06-13 DOI: 10.1109/IITC.2013.6615561
Yue Zhang, Li Zheng, M. Bakir
Embedded microfluidic cooling is considered a promising solution for heat removal in 3D ICs. This paper presents tier-independent microfluidic cooling in a 2-tier chip thermal testbed. Each tier has 4 segmented heaters emulating a simplified multicore processor. Tier-independent cooling is shown to reduce the pumping power by 37.5% by preventing over-cooling when an operating temperature is specified. Thermal coupling for 3D chips with liquid cooling is also discussed.
嵌入式微流控冷却被认为是一种很有前途的3D集成电路散热解决方案。本文介绍了一种双层芯片热试验台的分层微流控冷却方法。每层有4个分段加热器,模拟一个简化的多核处理器。当指定工作温度时,通过防止过冷,显示出分层独立冷却可减少泵送功率37.5%。还讨论了液冷三维芯片的热耦合问题。
{"title":"Tier-independent microfluidic cooling for heterogeneous 3D ICs with nonuniform power dissipation","authors":"Yue Zhang, Li Zheng, M. Bakir","doi":"10.1109/IITC.2013.6615561","DOIUrl":"https://doi.org/10.1109/IITC.2013.6615561","url":null,"abstract":"Embedded microfluidic cooling is considered a promising solution for heat removal in 3D ICs. This paper presents tier-independent microfluidic cooling in a 2-tier chip thermal testbed. Each tier has 4 segmented heaters emulating a simplified multicore processor. Tier-independent cooling is shown to reduce the pumping power by 37.5% by preventing over-cooling when an operating temperature is specified. Thermal coupling for 3D chips with liquid cooling is also discussed.","PeriodicalId":6377,"journal":{"name":"2013 IEEE International Interconnect Technology Conference - IITC","volume":"45 1","pages":"1-3"},"PeriodicalIF":0.0,"publicationDate":"2013-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78141794","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Investigations on partially filled HAR tsvs for MEMS applications 用于MEMS的部分填充HAR tsv的研究
Pub Date : 2013-06-13 DOI: 10.1109/IITC.2013.6615585
L. Hofmann, I. Schubert, K. Gottfried, S. Schulz, T. Gessner
For considerations of stress reduction HAR-TSVs were only partially filled with copper. A comparison was made to ring shaped TSVs (i.e. copper ring with silicon core). Two approaches regarding the way of TSV implementation (before and after wafer bonding/ thinning, resp.) are discussed, concerning process ability and yield aspects. Electrical measurement yield 11 MΩ for a single TSV and 76 MΩ for a 4-point TSV-chain (incl. RDL).
出于降低应力的考虑,har - tsv仅部分填充了铜。并与环形tsv(即带硅芯的铜环)进行了比较。从工艺能力和良率两个方面讨论了TSV实现的两种方法(分别是在晶圆键合/减薄之前和之后)。电测量产率11 MΩ为单个TSV和76 MΩ为4点TSV链(包括RDL)。
{"title":"Investigations on partially filled HAR tsvs for MEMS applications","authors":"L. Hofmann, I. Schubert, K. Gottfried, S. Schulz, T. Gessner","doi":"10.1109/IITC.2013.6615585","DOIUrl":"https://doi.org/10.1109/IITC.2013.6615585","url":null,"abstract":"For considerations of stress reduction HAR-TSVs were only partially filled with copper. A comparison was made to ring shaped TSVs (i.e. copper ring with silicon core). Two approaches regarding the way of TSV implementation (before and after wafer bonding/ thinning, resp.) are discussed, concerning process ability and yield aspects. Electrical measurement yield 11 MΩ for a single TSV and 76 MΩ for a 4-point TSV-chain (incl. RDL).","PeriodicalId":6377,"journal":{"name":"2013 IEEE International Interconnect Technology Conference - IITC","volume":"4 1","pages":"1-3"},"PeriodicalIF":0.0,"publicationDate":"2013-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79542113","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Spanning the spectrum of interconnects from trenches of double patterning to system level 跨越从双模式沟槽到系统级互连的频谱
Pub Date : 2013-06-13 DOI: 10.1109/IITC.2013.6615549
N. Nagaraj
Summary form only given. This talk covers the fascinating aspects of the whole spectrum of interconnects from trenches of silicon in nanometers to multi-millimeter long wires at system level and how common principles govern them. This talk starts at the silicon level, where double and triple patterning is becoming more common at lower level interconnects and these offer unique challenges and opportunities in manufacturability, variability and signal/power integrities. Then, it covers the CMP and inter-layer variation induced challenges and opportunities at global interconnects in silicon and expands to interposer and TSV aspects. This is followed by package and board level challenges and opportunities in manufacturability, electromagnetic interference and signal/power integrities. A concept of `Interconnect Continuum' is introduced to show how viewing the whole spectrum in continuity helps in optimizing performance, power, cost and overall reliability.
只提供摘要形式。这次演讲涵盖了从纳米级硅沟槽到系统级多毫米长的电线的整个互连频谱的迷人方面,以及如何共同原则支配它们。本次演讲从硅级开始,双模式和三模式在较低级别互连中变得越来越普遍,这些在可制造性,可变性和信号/功率完整性方面提供了独特的挑战和机遇。然后,它涵盖了硅全球互连中CMP和层间变化引起的挑战和机遇,并扩展到中间体和TSV方面。其次是封装和板级在可制造性、电磁干扰和信号/电源完整性方面的挑战和机遇。引入了“互连连续体”的概念,展示了如何连续查看整个频谱有助于优化性能、功耗、成本和整体可靠性。
{"title":"Spanning the spectrum of interconnects from trenches of double patterning to system level","authors":"N. Nagaraj","doi":"10.1109/IITC.2013.6615549","DOIUrl":"https://doi.org/10.1109/IITC.2013.6615549","url":null,"abstract":"Summary form only given. This talk covers the fascinating aspects of the whole spectrum of interconnects from trenches of silicon in nanometers to multi-millimeter long wires at system level and how common principles govern them. This talk starts at the silicon level, where double and triple patterning is becoming more common at lower level interconnects and these offer unique challenges and opportunities in manufacturability, variability and signal/power integrities. Then, it covers the CMP and inter-layer variation induced challenges and opportunities at global interconnects in silicon and expands to interposer and TSV aspects. This is followed by package and board level challenges and opportunities in manufacturability, electromagnetic interference and signal/power integrities. A concept of `Interconnect Continuum' is introduced to show how viewing the whole spectrum in continuity helps in optimizing performance, power, cost and overall reliability.","PeriodicalId":6377,"journal":{"name":"2013 IEEE International Interconnect Technology Conference - IITC","volume":"8 1","pages":"1-1"},"PeriodicalIF":0.0,"publicationDate":"2013-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78527829","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A simple model-base prediction method for delamination failures in Low-k/cu interconnects with flip chip packages 采用倒装封装的低k/cu互连中分层失效的简单模型预测方法
Pub Date : 2013-06-13 DOI: 10.1109/IITC.2013.6615560
J. Kawahara, I. Kume, H. Honda, Y. Kyogoku, F. Ito, M. Hane, K. Kata, Y. Hayashi
A model-base prediction method is proposed for delamination/cracking failures in Low-k/Cu interconnects with Pb-free FCBGA (Flip Chip-Ball Grid Array). The low-k failure under the solder bump, so called as a white bump (WB) failure, is caused by large thermal stress to a brittle low-k film during the cooling process from high reflow temperature for the Pb-free solder. Based on failure analysis using several low-k films and several packaging materials/structures, we found that occurrence of the WB failure is able to be predicted by a simple evaluation function of the simulated strain energy and a critical energy release rate of crack, which is defined by the fracture toughness and the adhesion-strength of the low-k film. According to this method, we can lead a preliminary design guideline on the bump pitch/structure or the interposer material/structure toward no WE failure quickly.
提出了一种基于模型的无铅FCBGA (Flip Chip-Ball Grid Array)低k/Cu互连脱层/开裂故障预测方法。焊料凸点下的低k失效称为白凸点(WB)失效,是由于无铅焊料在高回流温度冷却过程中对脆性低k膜产生较大的热应力造成的。通过对几种低k薄膜和几种包装材料/结构的失效分析,我们发现可以通过模拟应变能和裂纹临界能量释放率的简单评价函数来预测WB失效的发生,而裂纹的临界能量释放率是由低k薄膜的断裂韧性和粘接强度定义的。根据这种方法,我们可以在碰撞间距/结构或中间材料/结构的初步设计指导下快速实现无we失效。
{"title":"A simple model-base prediction method for delamination failures in Low-k/cu interconnects with flip chip packages","authors":"J. Kawahara, I. Kume, H. Honda, Y. Kyogoku, F. Ito, M. Hane, K. Kata, Y. Hayashi","doi":"10.1109/IITC.2013.6615560","DOIUrl":"https://doi.org/10.1109/IITC.2013.6615560","url":null,"abstract":"A model-base prediction method is proposed for delamination/cracking failures in Low-k/Cu interconnects with Pb-free FCBGA (Flip Chip-Ball Grid Array). The low-k failure under the solder bump, so called as a white bump (WB) failure, is caused by large thermal stress to a brittle low-k film during the cooling process from high reflow temperature for the Pb-free solder. Based on failure analysis using several low-k films and several packaging materials/structures, we found that occurrence of the WB failure is able to be predicted by a simple evaluation function of the simulated strain energy and a critical energy release rate of crack, which is defined by the fracture toughness and the adhesion-strength of the low-k film. According to this method, we can lead a preliminary design guideline on the bump pitch/structure or the interposer material/structure toward no WE failure quickly.","PeriodicalId":6377,"journal":{"name":"2013 IEEE International Interconnect Technology Conference - IITC","volume":"17 1","pages":"1-3"},"PeriodicalIF":0.0,"publicationDate":"2013-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88023710","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Subtractive W contact and local interconnect co-integration (CLIC) 相减W接触和局部互连协整(CLIC)
Pub Date : 2013-06-13 DOI: 10.1109/IITC.2013.6615550
Fei Liu, B. Fletcher, E. Joseph, Yu Zhu, J. Gonsalves, W. Price, G. Fritz, S. Engelmann, A. Pyzyna, Zhen Zhang, C. Cabral, M. Guillorn
The resistivity of W interconnects deposited by physical vapor deposition (PVD) and chemical vapor deposition (CVD) is studied. The impacts of the deposition process and liner film stacks are explored. The results show acceptable resistivity for local interconnect (LI) applications with a linewidth down to 20nm and a wiring pitch down to 60nm. An integration scheme for combining a CVD W contact and local interconnect is explored as a means of providing a compact wiring solution with minimal impact on process complexity. The wiring concept is validated by integrating the local interconnects with trigate transistors.
研究了物理气相沉积(PVD)和化学气相沉积(CVD)制备的钨互连线的电阻率。探讨了沉积工艺和衬里膜堆的影响。结果表明,在线宽低至20nm,布线间距低至60nm的局部互连(LI)应用中,电阻率可接受。将CVD W触点和本地互连相结合的集成方案作为提供紧凑布线解决方案的一种手段,对工艺复杂性的影响最小。通过将本地互连与三极管集成,验证了布线概念。
{"title":"Subtractive W contact and local interconnect co-integration (CLIC)","authors":"Fei Liu, B. Fletcher, E. Joseph, Yu Zhu, J. Gonsalves, W. Price, G. Fritz, S. Engelmann, A. Pyzyna, Zhen Zhang, C. Cabral, M. Guillorn","doi":"10.1109/IITC.2013.6615550","DOIUrl":"https://doi.org/10.1109/IITC.2013.6615550","url":null,"abstract":"The resistivity of W interconnects deposited by physical vapor deposition (PVD) and chemical vapor deposition (CVD) is studied. The impacts of the deposition process and liner film stacks are explored. The results show acceptable resistivity for local interconnect (LI) applications with a linewidth down to 20nm and a wiring pitch down to 60nm. An integration scheme for combining a CVD W contact and local interconnect is explored as a means of providing a compact wiring solution with minimal impact on process complexity. The wiring concept is validated by integrating the local interconnects with trigate transistors.","PeriodicalId":6377,"journal":{"name":"2013 IEEE International Interconnect Technology Conference - IITC","volume":"2014 1","pages":"1-3"},"PeriodicalIF":0.0,"publicationDate":"2013-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86479509","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Electrical properties of multilayer graphene interconnects prepared by chemical vapor deposition 化学气相沉积法制备多层石墨烯互连的电学性能
Pub Date : 2013-06-13 DOI: 10.1109/IITC.2013.6615580
M. Katagiri, H. Miyazaki, Y. Yamazaki, Li Zhang, Takashi Matsumoto, M. Wada, A. Kajita, T. Sakai
We fabricate multilayer graphene interconnects with 100-nm-class line widths. Multilayer graphene is grown on a Ni catalyst layer using remote plasma-enhanced chemical vapor deposition (CVD) at a low temperature of 600°C and transferred onto a SiO2/Si substrate after exfoliation from the Ni layer. The sheet resistance of the CVD graphene interconnects is as low as 500 Ω sq. The temperature dependence of resistance reveals that the CVD graphene exhibits half-metallic transport properties.
我们制造了100纳米级线宽的多层石墨烯互连。多层石墨烯采用远程等离子体增强化学气相沉积(CVD)技术在600℃低温下在Ni催化剂层上生长,并在Ni层剥离后转移到SiO2/Si衬底上。CVD石墨烯互连的片电阻低至500 Ω sq。电阻的温度依赖性表明CVD石墨烯具有半金属输运特性。
{"title":"Electrical properties of multilayer graphene interconnects prepared by chemical vapor deposition","authors":"M. Katagiri, H. Miyazaki, Y. Yamazaki, Li Zhang, Takashi Matsumoto, M. Wada, A. Kajita, T. Sakai","doi":"10.1109/IITC.2013.6615580","DOIUrl":"https://doi.org/10.1109/IITC.2013.6615580","url":null,"abstract":"We fabricate multilayer graphene interconnects with 100-nm-class line widths. Multilayer graphene is grown on a Ni catalyst layer using remote plasma-enhanced chemical vapor deposition (CVD) at a low temperature of 600°C and transferred onto a SiO2/Si substrate after exfoliation from the Ni layer. The sheet resistance of the CVD graphene interconnects is as low as 500 Ω sq. The temperature dependence of resistance reveals that the CVD graphene exhibits half-metallic transport properties.","PeriodicalId":6377,"journal":{"name":"2013 IEEE International Interconnect Technology Conference - IITC","volume":"4 1","pages":"1-3"},"PeriodicalIF":0.0,"publicationDate":"2013-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88913778","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
System-level analysis for 3D interconnection networks 三维互连网络的系统级分析
Pub Date : 2013-06-13 DOI: 10.1109/IITC.2013.6615597
C. Pan, A. Naeemi
This paper provides a fast and efficient approach to analyze and compare systems implemented with through-silicon via (TSV) and monolithic inter-tier via (MIV) 3D integration technologies based on compact models for cycle-per-instruction, memory throughput, and multi-level interconnect networks. Additionally, the impact of via diameter and capacitance on the overall system throughput has been quantified. It is demonstrated that for the same die area and thermal constraint, an MIV-based processor offers over 25% improvement in computational throughput as compared with its 2D counterpart.
本文提供了一种快速有效的方法来分析和比较采用硅通孔(TSV)和单片层间通孔(MIV) 3D集成技术实现的系统,该技术基于每指令周期、内存吞吐量和多级互连网络的紧凑模型。此外,通孔直径和电容对整个系统吞吐量的影响已被量化。研究表明,对于相同的模具面积和热约束,基于miv的处理器与2D处理器相比,计算吞吐量提高了25%以上。
{"title":"System-level analysis for 3D interconnection networks","authors":"C. Pan, A. Naeemi","doi":"10.1109/IITC.2013.6615597","DOIUrl":"https://doi.org/10.1109/IITC.2013.6615597","url":null,"abstract":"This paper provides a fast and efficient approach to analyze and compare systems implemented with through-silicon via (TSV) and monolithic inter-tier via (MIV) 3D integration technologies based on compact models for cycle-per-instruction, memory throughput, and multi-level interconnect networks. Additionally, the impact of via diameter and capacitance on the overall system throughput has been quantified. It is demonstrated that for the same die area and thermal constraint, an MIV-based processor offers over 25% improvement in computational throughput as compared with its 2D counterpart.","PeriodicalId":6377,"journal":{"name":"2013 IEEE International Interconnect Technology Conference - IITC","volume":"21 1","pages":"1-3"},"PeriodicalIF":0.0,"publicationDate":"2013-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84843722","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
CPI challenges in advanced Si technology nodes CPI在先进Si技术节点的挑战
Pub Date : 2013-06-13 DOI: 10.1109/IITC.2013.6615559
C. S. Liu, H. Pu, C. S. Chen, H. Tsai, C. Lee, M. Lii, Doug C. H. Yu
The key chip-package-integration (CPI) challenges and solutions in the packaging and assembly of advanced Si technology nodes are reported. The key challenge of CPI due to the use of fragile extreme low-k (ELK) dielectric materials in the back-end-of-line (BEOL) layer has been resolved by optimizing bump structure and materials set including both the organic substrate and solder materials, along with process improvements for both Pb-free solder and Cu bump in flip chip packages.
报告了先进硅技术节点封装和组装过程中所面临的关键芯片封装集成(CPI)挑战和解决方案。由于在后端线(BEOL)层中使用脆弱的极低k (ELK)介电材料,CPI的主要挑战已经通过优化凸点结构和材料集(包括有机衬底和焊料材料)以及对倒装芯片封装中的无铅焊料和铜凸点的工艺改进来解决。
{"title":"CPI challenges in advanced Si technology nodes","authors":"C. S. Liu, H. Pu, C. S. Chen, H. Tsai, C. Lee, M. Lii, Doug C. H. Yu","doi":"10.1109/IITC.2013.6615559","DOIUrl":"https://doi.org/10.1109/IITC.2013.6615559","url":null,"abstract":"The key chip-package-integration (CPI) challenges and solutions in the packaging and assembly of advanced Si technology nodes are reported. The key challenge of CPI due to the use of fragile extreme low-k (ELK) dielectric materials in the back-end-of-line (BEOL) layer has been resolved by optimizing bump structure and materials set including both the organic substrate and solder materials, along with process improvements for both Pb-free solder and Cu bump in flip chip packages.","PeriodicalId":6377,"journal":{"name":"2013 IEEE International Interconnect Technology Conference - IITC","volume":"21 1","pages":"1-3"},"PeriodicalIF":0.0,"publicationDate":"2013-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81607470","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Critical initial void growth for electromigration: Stress modeling and multi-link statistics for Cu/low-k interconnects 电迁移的临界初始空隙生长:Cu/低k互连的应力建模和多链路统计
Pub Date : 2013-06-13 DOI: 10.1109/IITC.2013.6615554
Z.-J Wu, L. Cao, J. Im, K.-D. Lee, P. Ho
This paper investigated the initial void growth that determines the electromigration failure time for Cu/low-k interconnects. A method to derive the initial void growth rate prior to line failure by analyzing the resistance traces was developed. The statistical data from multi-linked structures show a linear relationship between the void growth rates before and after failure. An extended the Korhonen model was developed taking into account the stress effect on void growth for Cu interconnects. The model was able to account for the observed EM statistics, thus suggesting that the effect of stress should be included for EM lifetime extrapolation.
本文研究了决定铜/低钾互连电迁移失效时间的初始空穴生长。提出了一种通过分析电阻迹线来计算线路失效前初始空隙生长速率的方法。多链接结构的统计数据表明,破坏前后空洞生长速率呈线性关系。提出了考虑应力对铜互连孔生长影响的Korhonen模型的扩展。该模型能够解释观察到的EM统计数据,从而表明应力的影响应该包括EM寿命外推。
{"title":"Critical initial void growth for electromigration: Stress modeling and multi-link statistics for Cu/low-k interconnects","authors":"Z.-J Wu, L. Cao, J. Im, K.-D. Lee, P. Ho","doi":"10.1109/IITC.2013.6615554","DOIUrl":"https://doi.org/10.1109/IITC.2013.6615554","url":null,"abstract":"This paper investigated the initial void growth that determines the electromigration failure time for Cu/low-k interconnects. A method to derive the initial void growth rate prior to line failure by analyzing the resistance traces was developed. The statistical data from multi-linked structures show a linear relationship between the void growth rates before and after failure. An extended the Korhonen model was developed taking into account the stress effect on void growth for Cu interconnects. The model was able to account for the observed EM statistics, thus suggesting that the effect of stress should be included for EM lifetime extrapolation.","PeriodicalId":6377,"journal":{"name":"2013 IEEE International Interconnect Technology Conference - IITC","volume":"19 1","pages":"1-3"},"PeriodicalIF":0.0,"publicationDate":"2013-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82007846","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
2013 IEEE International Interconnect Technology Conference - IITC
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1