首页 > 最新文献

2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers最新文献

英文 中文
A 24.7dBm all-digital RF transmitter for multimode broadband applications in 40nm CMOS 24.7dBm全数字射频发射机,用于40nm CMOS多模宽带应用
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487757
Chao-Hsin Lu, Hua Wang, CH Peng, A. Goel, Sang-Hee Son, Paul C. P. Liang, A. Niknejad, HC Hwang, G. Chien
Recently, digitizing RF circuits has attracted extensive attention by exploiting high speed transistors offered in nano-scale CMOS processes. The digitally-assisted or digital-intensive RF transceivers not only benefit from technology scaling in terms of power efficiency and die area, but also improve functional flexibility. The polar architecture is well recognized for digital RF transmitters [1,2,4,5], while the bandwidth expansion resulting from Cartesian-to-polar transformation makes it difficult to comply with high-speed wireless standards. Open-loop phase interpolation topology was employed in an outphasing transmitter [3], where 12dBm output power was demonstrated with 40MHz 802.11n signal. In this work, an all-digital RF transmitter with direct quadrature architecture is presented to address the need for broadband wireless connectivity.
近年来,利用纳米级CMOS工艺提供的高速晶体管,数字化射频电路引起了广泛的关注。数字辅助或数字密集型射频收发器不仅在功率效率和芯片面积方面受益于技术扩展,而且还提高了功能灵活性。极结构在数字射频发射机中得到了很好的认可[1,2,4,5],但笛卡尔到极变换导致的带宽扩展使其难以符合高速无线标准。分相发射机采用开环相位插值拓扑[3],用40MHz 802.11n信号演示12dBm输出功率。在这项工作中,提出了一种具有直接正交结构的全数字射频发射机,以满足宽带无线连接的需求。
{"title":"A 24.7dBm all-digital RF transmitter for multimode broadband applications in 40nm CMOS","authors":"Chao-Hsin Lu, Hua Wang, CH Peng, A. Goel, Sang-Hee Son, Paul C. P. Liang, A. Niknejad, HC Hwang, G. Chien","doi":"10.1109/ISSCC.2013.6487757","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487757","url":null,"abstract":"Recently, digitizing RF circuits has attracted extensive attention by exploiting high speed transistors offered in nano-scale CMOS processes. The digitally-assisted or digital-intensive RF transceivers not only benefit from technology scaling in terms of power efficiency and die area, but also improve functional flexibility. The polar architecture is well recognized for digital RF transmitters [1,2,4,5], while the bandwidth expansion resulting from Cartesian-to-polar transformation makes it difficult to comply with high-speed wireless standards. Open-loop phase interpolation topology was employed in an outphasing transmitter [3], where 12dBm output power was demonstrated with 40MHz 802.11n signal. In this work, an all-digital RF transmitter with direct quadrature architecture is presented to address the need for broadband wireless connectivity.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79660736","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 67
A 4× 25-to-28Gb/s 4.9mW/Gb/s −9.7dBm high-sensitivity optical receiver based on 65nm CMOS for board-to-board interconnects 基于65nm CMOS的4× 25- 28gb /s 4.9mW/Gb/s−9.7dBm高灵敏度光接收器,用于板对板互连
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487662
T. Takemoto, H. Yamashita, T. Yazaki, N. Chujo, Yong Lee, Y. Matsuoka
Growing data traffic requires low-power 25Gb/s-class optical interconnects for board-to-board transmission inside ICT systems [1-4]. The main power consumption of an optical link strongly depends on the sensitivity of the TIA; thus, development of a high-sensitivity TIA is a key to creating a low-power optical link., There are two challenges concerning the design of such a TIA: (1) improving the sensitivity of TIA without sacrificing bandwidth and (2) suppressing ISI due to insertion loss. To address these two issues, a 4×25Gb/s CMOS optical receiver (RX), which includes a four-channel TIA and a PD array operating at 1.3μm wavelength, is developed. The key components of the TIA are an automatic-decision-threshold control (ATC) with an offset canceller and low-voltage output driver (Drv) with peaking value of 7.7dB at 12.5GHz, achieved by separating equalizer (EQ) function and output buffer (BUF). The TIA attains a sensitivity of -9.7dBm (86μApp) optical modulation amplitude (OMA) and an eye opening of 65% at 25Gb/s. Operation at 28Gb/s with sensitivity of -8.2dBm (121μApp) OMA is also confirmed.
不断增长的数据流量需要低功耗25Gb/s级光互连来实现ICT系统内的板对板传输[1-4]。光链路的主要功耗很大程度上取决于TIA的灵敏度;因此,开发高灵敏度TIA是创建低功耗光链路的关键。设计这样的TIA有两个挑战:(1)在不牺牲带宽的情况下提高TIA的灵敏度;(2)抑制由于插入损耗引起的ISI。为了解决这两个问题,我们开发了一个4×25Gb/s CMOS光接收器(RX),它包括一个四通道TIA和一个工作在1.3μm波长的PD阵列。TIA的关键部件是带有偏移抵消器的自动决策阈值控制(ATC)和12.5GHz时峰值为7.7dB的低压输出驱动器(Drv),通过分离均衡器(EQ)功能和输出缓冲器(BUF)实现。在25Gb/s速率下,TIA的光调制幅度(OMA)灵敏度为-9.7dBm (86μApp),睁眼率为65%。在28Gb/s下工作,灵敏度为-8.2dBm (121μApp) OMA。
{"title":"A 4× 25-to-28Gb/s 4.9mW/Gb/s −9.7dBm high-sensitivity optical receiver based on 65nm CMOS for board-to-board interconnects","authors":"T. Takemoto, H. Yamashita, T. Yazaki, N. Chujo, Yong Lee, Y. Matsuoka","doi":"10.1109/ISSCC.2013.6487662","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487662","url":null,"abstract":"Growing data traffic requires low-power 25Gb/s-class optical interconnects for board-to-board transmission inside ICT systems [1-4]. The main power consumption of an optical link strongly depends on the sensitivity of the TIA; thus, development of a high-sensitivity TIA is a key to creating a low-power optical link., There are two challenges concerning the design of such a TIA: (1) improving the sensitivity of TIA without sacrificing bandwidth and (2) suppressing ISI due to insertion loss. To address these two issues, a 4×25Gb/s CMOS optical receiver (RX), which includes a four-channel TIA and a PD array operating at 1.3μm wavelength, is developed. The key components of the TIA are an automatic-decision-threshold control (ATC) with an offset canceller and low-voltage output driver (Drv) with peaking value of 7.7dB at 12.5GHz, achieved by separating equalizer (EQ) function and output buffer (BUF). The TIA attains a sensitivity of -9.7dBm (86μApp) optical modulation amplitude (OMA) and an eye opening of 65% at 25Gb/s. Operation at 28Gb/s with sensitivity of -8.2dBm (121μApp) OMA is also confirmed.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84290865","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 44
A 28fJ/conv-step CT ΔΣ modulator with 78dB DR and 18MHz BW in 28nm CMOS using a highly digital multibit quantizer 28fJ/反步CT ΔΣ调制器,78dB DR, 18MHz BW, 28nm CMOS,采用高数字多位量化器
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487729
Yun-Shiang Shu, Jui-Yuan Tsai, Ping-Yu Chen, Tien-Yu Lo, Pao-Cheng Chiu
Recently reported continuous-time (CT) ΔΣ modulators with opamp bandwidth compensation and high-order single-opamp integrators have achieved FoM values well below 100fJ/conv-step [1-3]. With loop-filter power greatly reduced, power dissipation in multibit quantizers becomes especially significant. For example, the quantizer in [2] accounts for 2.8mW of 8.5mW total power dissipation. Also, the input capacitance of multibit quantizers and the output parasitics of excess loop delay (ELD) compensation DACs result in increased power demand for summing circuits. To minimize power dissipation, two recent works use 1b quantizers with FIR DACs and replace ELD compensation DACs with a DAC followed by analog filter [3] or with feedback to the pre-amplifier [4]. ELD compensation may also be realized using digital logic following the quantizer [5]. This paper presents a low-power solution based on a highly digital multibit quantizer with embedded feedback to compensate for finite opamp bandwidth along with ELD. The quantizer consumes less than 10% of the total power and simplifies the analog circuits into a single DAC plus a feedforward loop filter with relaxed opamp requirements. Digital correction at the modulator output suggested by early work [6] is employed to shape DAC mismatch with the inherent noise transfer function (NTF) and to further relax circuit constraints. These digitally assisted techniques enable a CT ΔΣ modulator to achieve an FoM below 28fJ/conv-step.
最近报道的带运放大器带宽补偿和高阶单运放大器积分器的连续时间(CT) ΔΣ调制器的FoM值远低于100fJ/ convstep[1-3]。随着环路滤波器功率的大大降低,多位量化器的功耗变得尤为显著。例如,[2]中的量化器在总功耗8.5mW中占2.8mW。此外,多位量化器的输入电容和过量环路延迟(ELD)补偿dac的输出寄生导致求和电路的功率需求增加。为了最大限度地减少功耗,最近的两项工作使用1b量化器和FIR DAC,并用DAC后的模拟滤波器[3]或对前置放大器的反馈[4]取代ELD补偿DAC。ELD补偿也可以通过跟随量化器的数字逻辑来实现[5]。本文提出了一种基于嵌入式反馈的高数字多位量化器的低功耗解决方案,以补偿有限的运放带宽和ELD。量化器的功耗低于总功率的10%,并将模拟电路简化为单个DAC加一个前馈环路滤波器,对运放的要求较低。早期工作[6]建议在调制器输出处进行数字校正,以形成DAC与固有噪声传递函数(NTF)的失配,并进一步放宽电路约束。这些数字辅助技术使CT ΔΣ调制器能够实现低于28fJ/反步的FoM。
{"title":"A 28fJ/conv-step CT ΔΣ modulator with 78dB DR and 18MHz BW in 28nm CMOS using a highly digital multibit quantizer","authors":"Yun-Shiang Shu, Jui-Yuan Tsai, Ping-Yu Chen, Tien-Yu Lo, Pao-Cheng Chiu","doi":"10.1109/ISSCC.2013.6487729","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487729","url":null,"abstract":"Recently reported continuous-time (CT) ΔΣ modulators with opamp bandwidth compensation and high-order single-opamp integrators have achieved FoM values well below 100fJ/conv-step [1-3]. With loop-filter power greatly reduced, power dissipation in multibit quantizers becomes especially significant. For example, the quantizer in [2] accounts for 2.8mW of 8.5mW total power dissipation. Also, the input capacitance of multibit quantizers and the output parasitics of excess loop delay (ELD) compensation DACs result in increased power demand for summing circuits. To minimize power dissipation, two recent works use 1b quantizers with FIR DACs and replace ELD compensation DACs with a DAC followed by analog filter [3] or with feedback to the pre-amplifier [4]. ELD compensation may also be realized using digital logic following the quantizer [5]. This paper presents a low-power solution based on a highly digital multibit quantizer with embedded feedback to compensate for finite opamp bandwidth along with ELD. The quantizer consumes less than 10% of the total power and simplifies the analog circuits into a single DAC plus a feedforward loop filter with relaxed opamp requirements. Digital correction at the modulator output suggested by early work [6] is employed to shape DAC mismatch with the inherent noise transfer function (NTF) and to further relax circuit constraints. These digitally assisted techniques enable a CT ΔΣ modulator to achieve an FoM below 28fJ/conv-step.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83568071","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 92
A scalable 0.128-to-1Tb/s 0.8-to-2.6pJ/b 64-lane parallel I/O in 32nm CMOS 可扩展的0.128- 1tb /s 0.8- 2.6 pj /b 64通道并行I/O在32nm CMOS
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487788
M. Mansuri, J. Jaussi, J. Kennedy, Tzu-Chien Hsueh, S. Shekhar, G. Balamurugan, F. O’Mahony, Clark Roberts, R. Mooney, B. Casper
High-performance computing (HPC) systems demand aggressive scaling of memory and I/O to achieve multiple terabits/sec of bandwidth. Minimizing I/O cost, area and power are crucial to achieving a practically realizable system with such large bandwidth. To meet these needs, we developed a low-power dense 64-lane I/O system with per-port aggregate bandwidth up to 1Tb/s and 2.6pJ/bit power efficiency. We developed a high-density connector and cable, attached to the top side of the package that enables this high interconnect density. A lane-failover mechanism provides design robustness for fault-tolerance. To further optimize power efficiency, the lane data rate scales from 2 to 16Gb/s with non-linear power efficiency of 0.8 to 2.6pJ/bit, providing scalable aggregate bandwidth of 0.128 to 1Tb/s. Highly power scalable circuits such as CMOS clocking and reconfigurable current-mode (CM) or voltage-mode (VM) TX driver enable the 8× bandwidth and 3× power efficiency scalability with aggressive supply voltage scaling (0.6 to 1.08V).
高性能计算(HPC)系统需要积极扩展内存和I/O,以实现数太比特/秒的带宽。最小化I/O成本、面积和功耗对于实现具有如此大带宽的实际可实现系统至关重要。为了满足这些需求,我们开发了一种低功耗密度的64通道I/O系统,每端口聚合带宽高达1Tb/s,功率效率为2.6pJ/bit。我们开发了一种高密度连接器和电缆,连接到封装的顶部,实现了这种高互连密度。通道故障转移机制为容错提供了设计稳健性。为了进一步优化功率效率,通道数据速率范围从2到16Gb/s,非线性功率效率为0.8到2.6pJ/bit,提供0.128到1Tb/s的可扩展聚合带宽。高功率可扩展电路,如CMOS时钟和可重构的电流模式(CM)或电压模式(VM) TX驱动器,通过积极的电源电压缩放(0.6至1.08V),实现8倍带宽和3倍功率效率可扩展性。
{"title":"A scalable 0.128-to-1Tb/s 0.8-to-2.6pJ/b 64-lane parallel I/O in 32nm CMOS","authors":"M. Mansuri, J. Jaussi, J. Kennedy, Tzu-Chien Hsueh, S. Shekhar, G. Balamurugan, F. O’Mahony, Clark Roberts, R. Mooney, B. Casper","doi":"10.1109/ISSCC.2013.6487788","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487788","url":null,"abstract":"High-performance computing (HPC) systems demand aggressive scaling of memory and I/O to achieve multiple terabits/sec of bandwidth. Minimizing I/O cost, area and power are crucial to achieving a practically realizable system with such large bandwidth. To meet these needs, we developed a low-power dense 64-lane I/O system with per-port aggregate bandwidth up to 1Tb/s and 2.6pJ/bit power efficiency. We developed a high-density connector and cable, attached to the top side of the package that enables this high interconnect density. A lane-failover mechanism provides design robustness for fault-tolerance. To further optimize power efficiency, the lane data rate scales from 2 to 16Gb/s with non-linear power efficiency of 0.8 to 2.6pJ/bit, providing scalable aggregate bandwidth of 0.128 to 1Tb/s. Highly power scalable circuits such as CMOS clocking and reconfigurable current-mode (CM) or voltage-mode (VM) TX driver enable the 8× bandwidth and 3× power efficiency scalability with aggressive supply voltage scaling (0.6 to 1.08V).","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85054404","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 38
A 3.4pJ FeRAM-enabled D flip-flop in 0.13µm CMOS for nonvolatile processing in digital systems 一个3.4pJ FeRAM-enabled D触发器在0.13µm CMOS中用于数字系统的非易失性处理
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487695
M. Qazi, A. Amerasekera, A. Chandrakasan
Nonvolatile processing-continuously operating a digital circuit and retaining state through frequent power interruptions-creates new applications for portable electronics operating from harvested energy [1] and high-performance systems managing power by operating “normally off” [2]. To enable these scenarios, energy processing must happen in parallel with information processing. This work makes the following contributions: 1) the design of a nonvolatile D flip-flop (NVDFF) with embedded ferroelectric capacitors (fecaps) that senses data robustly and avoids race conditions; 2) the integration of the NVDFF into the ASIC design flow with a power management unit (PMU) and a simple one-bit interface to brown-out detection circuitry; and 3) a characterization of the NVDFF statistical signal margin and the energy cost of retaining data.
非易失性处理——连续操作数字电路并通过频繁的电源中断保持状态——为便携式电子设备从收集的能量中运行[1]和通过“正常关闭”运行的高性能系统管理电源[2]创造了新的应用。为了实现这些场景,能源处理必须与信息处理并行进行。这项工作做出了以下贡献:1)设计了一种具有嵌入式铁电电容器(fecaps)的非易失性D触发器(NVDFF),该触发器可以鲁棒地感知数据并避免竞争条件;2)将NVDFF集成到ASIC设计流程中,带有电源管理单元(PMU)和一个简单的1位接口,用于断电检测电路;3)表征NVDFF统计信号裕度和保留数据的能量成本。
{"title":"A 3.4pJ FeRAM-enabled D flip-flop in 0.13µm CMOS for nonvolatile processing in digital systems","authors":"M. Qazi, A. Amerasekera, A. Chandrakasan","doi":"10.1109/ISSCC.2013.6487695","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487695","url":null,"abstract":"Nonvolatile processing-continuously operating a digital circuit and retaining state through frequent power interruptions-creates new applications for portable electronics operating from harvested energy [1] and high-performance systems managing power by operating “normally off” [2]. To enable these scenarios, energy processing must happen in parallel with information processing. This work makes the following contributions: 1) the design of a nonvolatile D flip-flop (NVDFF) with embedded ferroelectric capacitors (fecaps) that senses data robustly and avoids race conditions; 2) the integration of the NVDFF into the ASIC design flow with a power management unit (PMU) and a simple one-bit interface to brown-out detection circuitry; and 3) a characterization of the NVDFF statistical signal margin and the energy cost of retaining data.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72862560","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 57
A 0.026mm2 5.3mW 32-to-2000MHz digital fractional-N phase locked-loop using a phase-interpolating phase-to-digital converter 一个0.026mm2 5.3mW 32- 2000mhz数字分数n锁相环,使用相位插值相位到数字转换器
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487723
Taekwang Jang, Nan Xing, Frank Liu, Jungeun Shin, Hyungreal Ryu, Jihyun F. Kim, Taeik Kim, Jaejin Park, Hojin Park
Recent innovations in semiconductor processes have accelerated the transition from analog circuits to their digital counterparts, with digital PLLs (DPLLs) being an example of this trend [1]. All-digital or fully synthesizable approaches, which exploit the merits of advanced processes, suffer from poor noise performance and high power consumption [2]. On the other hand, hybrid approaches, which employ analog components such as digital-to-analog converters (DACs), digital-to-time converters, phase interpolators (PIs) and regulators, have the typical difficulties associated with analog circuits, such as low output resistance, small voltage headroom and large variation. In this paper, we propose a highly digital architecture for a DPLL - one which minimizes the design effort typically required for analog circuits. The power and area-consuming circuits in prior works are replaced by power and area-efficient circuits with competitive performance. For example, a conventional time-to-digital converter (TDC) usually occupies considerable chip area in order to maximize input dynamic range with precise resolution [1]. Instead, in this work, a time-windowed phase-to-digital converter using interpolated DCO phases as a phase reference is adopted. In addition, conventional synchronous counters in the feedback path drastically increase the power consumption. Furthermore, retiming of data from the TDC is unavoidable due to the meta-stability of the sampling flip-flops [1]. The proposed divider scheme, which is composed of a multi-modulus frequency divider and a dead-zone-free phase and frequency detector (PFD), eliminates the need for a synchronous counter and retiming circuits. A calibration-free ΔΣ modulator (DSM) noise canceller is also included.
半导体工艺的最新创新加速了从模拟电路到数字电路的过渡,数字锁相环(dpll)就是这一趋势的一个例子[1]。全数字或完全可合成的方法利用了先进工艺的优点,但存在噪声性能差和功耗高的问题[2]。另一方面,采用数模转换器(dac)、数模转换器、相位插值器(pi)和调节器等模拟元件的混合方法具有与模拟电路相关的典型困难,例如低输出电阻、小电压余量和大变化。在本文中,我们提出了一种高度数字化的DPLL架构,它可以最大限度地减少模拟电路通常所需的设计工作量。将以往工作中的功耗和面积消耗电路替换为具有竞争力的功耗和面积效率电路。例如,传统的时间-数字转换器(TDC)通常占用相当大的芯片面积,以最大限度地提高输入动态范围和精确的分辨率[1]。相反,在这项工作中,采用了一种采用内插DCO相位作为相位参考的时窗相数转换器。此外,传统的同步计数器在反馈路径急剧增加功耗。此外,由于采样触发器的元稳定性,来自TDC的数据重新定时是不可避免的[1]。该分频器方案由多模分频器和无死区相位频率检测器(PFD)组成,消除了对同步计数器和重定时电路的需求。还包括免校准ΔΣ调制器(DSM)消噪器。
{"title":"A 0.026mm2 5.3mW 32-to-2000MHz digital fractional-N phase locked-loop using a phase-interpolating phase-to-digital converter","authors":"Taekwang Jang, Nan Xing, Frank Liu, Jungeun Shin, Hyungreal Ryu, Jihyun F. Kim, Taeik Kim, Jaejin Park, Hojin Park","doi":"10.1109/ISSCC.2013.6487723","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487723","url":null,"abstract":"Recent innovations in semiconductor processes have accelerated the transition from analog circuits to their digital counterparts, with digital PLLs (DPLLs) being an example of this trend [1]. All-digital or fully synthesizable approaches, which exploit the merits of advanced processes, suffer from poor noise performance and high power consumption [2]. On the other hand, hybrid approaches, which employ analog components such as digital-to-analog converters (DACs), digital-to-time converters, phase interpolators (PIs) and regulators, have the typical difficulties associated with analog circuits, such as low output resistance, small voltage headroom and large variation. In this paper, we propose a highly digital architecture for a DPLL - one which minimizes the design effort typically required for analog circuits. The power and area-consuming circuits in prior works are replaced by power and area-efficient circuits with competitive performance. For example, a conventional time-to-digital converter (TDC) usually occupies considerable chip area in order to maximize input dynamic range with precise resolution [1]. Instead, in this work, a time-windowed phase-to-digital converter using interpolated DCO phases as a phase reference is adopted. In addition, conventional synchronous counters in the feedback path drastically increase the power consumption. Furthermore, retiming of data from the TDC is unavoidable due to the meta-stability of the sampling flip-flops [1]. The proposed divider scheme, which is composed of a multi-modulus frequency divider and a dead-zone-free phase and frequency detector (PFD), eliminates the need for a synchronous counter and retiming circuits. A calibration-free ΔΣ modulator (DSM) noise canceller is also included.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74956387","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 21
3D volumetric ultrasound imaging with a 32×32 CMUT array integrated with front-end ICs using flip-chip bonding technology 三维体积超声成像与32×32 CMUT阵列集成前端集成电路使用倒装芯片键合技术
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487786
A. Bhuyan, J. Choe, Byung-chul Lee, I. Wygant, A. Nikoozadeh, Ömer Oralkan, B. Khuri-Yakub
3D ultrasound imaging is becoming increasingly prevalent in the medical field. Compared to conventional 2D imaging systems, 3D imaging can provide a detailed view of tissue structures that makes diagnosis easier for the physicians. In addition, 2D image slices can be formed at various orientations to the transducer, making the examination less dependent on the skill of the sonographer. However, various challenges exist in developing a 3D imaging system, such as integration of a large number of elements, as well as post-processing of datasets received from a large number of channels. 2D transducer arrays are typically integrated with custom ICs in the probe handle to perform some intermediate beamforming and to reduce the number of cable connections to the imaging system. Capacitive micromachined ultrasonic transducers (CMUTs) have emerged as an alternative to piezoelectric transducers. Being a MEMS device, they greatly benefit from flexibility and ease of fabrication, and can be seamlessly integrated with electronics. Previous work demonstrates 3D stacking of CMUTs and dummy ICs with an intermediate interposer layer. However, that represents more of a mechanical demonstration of 3D integration. In this paper, we present a fully functional 3D ultrasound imaging system comprising a 32×32 2D CMUT array, 3D-stacked with front-end ICs using flip-chip bonding technology. The imaging system is capable of capturing real-time volumetric ultrasound data, and displaying 2D and 3D ultrasound images.
三维超声成像在医学领域日益普及。与传统的2D成像系统相比,3D成像可以提供组织结构的详细视图,使医生更容易诊断。此外,二维图像切片可以在换能器的不同方向形成,使检查较少依赖于超声医师的技能。然而,在开发3D成像系统中存在各种挑战,例如大量元素的集成,以及从大量通道接收的数据集的后处理。2D传感器阵列通常与探头手柄中的定制ic集成在一起,以执行一些中间波束形成,并减少与成像系统的电缆连接数量。电容式微机械超声换能器(CMUTs)已成为压电换能器的替代品。作为MEMS器件,它们极大地受益于灵活性和易于制造,并且可以与电子设备无缝集成。先前的工作演示了cmut和虚拟ic与中间中间层的3D堆叠。然而,这更多地代表了3D集成的机械演示。在本文中,我们提出了一个全功能的3D超声成像系统,包括32×32 2D CMUT阵列,3D堆叠与使用倒装芯片键合技术的前端ic。该成像系统能够捕获实时体积超声数据,并显示2D和3D超声图像。
{"title":"3D volumetric ultrasound imaging with a 32×32 CMUT array integrated with front-end ICs using flip-chip bonding technology","authors":"A. Bhuyan, J. Choe, Byung-chul Lee, I. Wygant, A. Nikoozadeh, Ömer Oralkan, B. Khuri-Yakub","doi":"10.1109/ISSCC.2013.6487786","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487786","url":null,"abstract":"3D ultrasound imaging is becoming increasingly prevalent in the medical field. Compared to conventional 2D imaging systems, 3D imaging can provide a detailed view of tissue structures that makes diagnosis easier for the physicians. In addition, 2D image slices can be formed at various orientations to the transducer, making the examination less dependent on the skill of the sonographer. However, various challenges exist in developing a 3D imaging system, such as integration of a large number of elements, as well as post-processing of datasets received from a large number of channels. 2D transducer arrays are typically integrated with custom ICs in the probe handle to perform some intermediate beamforming and to reduce the number of cable connections to the imaging system. Capacitive micromachined ultrasonic transducers (CMUTs) have emerged as an alternative to piezoelectric transducers. Being a MEMS device, they greatly benefit from flexibility and ease of fabrication, and can be seamlessly integrated with electronics. Previous work demonstrates 3D stacking of CMUTs and dummy ICs with an intermediate interposer layer. However, that represents more of a mechanical demonstration of 3D integration. In this paper, we present a fully functional 3D ultrasound imaging system comprising a 32×32 2D CMUT array, 3D-stacked with front-end ICs using flip-chip bonding technology. The imaging system is capable of capturing real-time volumetric ultrasound data, and displaying 2D and 3D ultrasound images.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75168441","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 44
A 32-to-48Gb/s serializing transmitter using multiphase sampling in 65nm CMOS 一种采用65nm CMOS多相采样的32- 48gb /s串行发射机
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487627
A. Hafez, Ming-Shuan Chen, C. Yang
Serial-link transmitters are widely used in applications like optical transceivers and multi-gigabit Ethernet. At tens of Gb/s, the operating range of bit-rates is narrow; limited by the speed of the multiplexing and the setup and hold time constraints of the last stage in the serializer. This constraint leads to using delay-matching buffers [1] or delay calibration loops [1-2] to guarantee that timing constraints are always met at the desired bit-rate across all PVT corners. This additional circuitry increases power, area, and overall complexity of the transmitter. The timing constraint and power penalty are particularly severe when the data rate is high compared to the inherent speed of the technology.
串行链路发射机广泛应用于光收发器和多千兆以太网等应用。在几十Gb/s时,比特率的工作范围较窄;受多路复用速度和串行化器最后阶段的设置和保持时间限制的限制。这种约束导致使用延迟匹配缓冲器[1]或延迟校准环路[1-2]来保证在所有PVT角上始终以所需的比特率满足时间约束。这种额外的电路增加了发射机的功率、面积和整体复杂性。与技术的固有速度相比,当数据速率很高时,时间限制和功耗损失尤为严重。
{"title":"A 32-to-48Gb/s serializing transmitter using multiphase sampling in 65nm CMOS","authors":"A. Hafez, Ming-Shuan Chen, C. Yang","doi":"10.1109/ISSCC.2013.6487627","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487627","url":null,"abstract":"Serial-link transmitters are widely used in applications like optical transceivers and multi-gigabit Ethernet. At tens of Gb/s, the operating range of bit-rates is narrow; limited by the speed of the multiplexing and the setup and hold time constraints of the last stage in the serializer. This constraint leads to using delay-matching buffers [1] or delay calibration loops [1-2] to guarantee that timing constraints are always met at the desired bit-rate across all PVT corners. This additional circuitry increases power, area, and overall complexity of the transmitter. The timing constraint and power penalty are particularly severe when the data rate is high compared to the inherent speed of the technology.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78798314","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 33
A fully intraocular 0.0169mm2/pixel 512-channel self-calibrating epiretinal prosthesis in 65nm CMOS 一种全眼内0.0169mm2/pixel 512通道自校准的65nm CMOS视网膜假体
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487742
M. Monge, M. Raj, M. H. Nazari, Jay Han-Chieh Chang, Yu Zhao, J. Weiland, M. Humayun, Y. Tai, A. Emami-Neyestanak
Since their conception and success in human trials, the flexibility and spatial resolution of retinal prostheses have been of major interest. Clinical studies have revealed that hundreds of channels are needed to restore functional visual perception, and more sophisticated waveforms present advantages over biphasic pulses. Initial designs targeted stimulation current levels up to 1mA to ensure functionality. For such designs, an output compliance of >10V was required, and HV technologies were used at the expense of area and power consumption. Human clinical trials have recently shown that implanted electrodes present a stimulus threshold as low as 50μA. In addition, advances in implant technology promise close placement of electrode array and retinal tissue, which can further decrease the required current. Thus, highly scaled LV technologies can provide alternative means to reduce area and power, and to support hundreds of flexible independent channels for fully intraocular implants. In this paper, a self-calibrating 512-channel epiretinal prosthesis in 65nm CMOS is presented. It features dual-band telemetry for power and data, clock recovery, a 2-step calibration technique to match biphasic stimulation currents, and an independent arbitrary output waveform per channel. The implant integrates coils (power and data), IC, external capacitors and electrode array using a biocompatible parylene substrate, providing a fully intraocular solution.
自从他们的概念和成功的人体试验,视网膜假体的灵活性和空间分辨率一直是主要的兴趣。临床研究表明,恢复功能性视觉知觉需要数百个通道,更复杂的波形比双相脉冲更有优势。最初的设计目标是刺激电流水平高达1mA,以确保功能。对于这样的设计,需要>10V的输出合规性,并且以牺牲面积和功耗为代价使用高压技术。人体临床试验最近表明,植入电极的刺激阈值低至50μA。此外,植入技术的进步使电极阵列和视网膜组织的紧密放置成为可能,这可以进一步降低所需的电流。因此,高度规模化的LV技术可以提供减少面积和功率的替代方法,并为全眼内植入物提供数百个灵活的独立通道。本文提出了一种自校准的512通道65nm CMOS视网膜假体。它具有双频遥测电源和数据、时钟恢复、两步校准技术以匹配双相刺激电流,以及每个通道独立的任意输出波形。植入物集成线圈(电源和数据)、IC、外部电容器和电极阵列,使用生物相容性的聚对二甲苯基板,提供完全的眼内解决方案。
{"title":"A fully intraocular 0.0169mm2/pixel 512-channel self-calibrating epiretinal prosthesis in 65nm CMOS","authors":"M. Monge, M. Raj, M. H. Nazari, Jay Han-Chieh Chang, Yu Zhao, J. Weiland, M. Humayun, Y. Tai, A. Emami-Neyestanak","doi":"10.1109/ISSCC.2013.6487742","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487742","url":null,"abstract":"Since their conception and success in human trials, the flexibility and spatial resolution of retinal prostheses have been of major interest. Clinical studies have revealed that hundreds of channels are needed to restore functional visual perception, and more sophisticated waveforms present advantages over biphasic pulses. Initial designs targeted stimulation current levels up to 1mA to ensure functionality. For such designs, an output compliance of >10V was required, and HV technologies were used at the expense of area and power consumption. Human clinical trials have recently shown that implanted electrodes present a stimulus threshold as low as 50μA. In addition, advances in implant technology promise close placement of electrode array and retinal tissue, which can further decrease the required current. Thus, highly scaled LV technologies can provide alternative means to reduce area and power, and to support hundreds of flexible independent channels for fully intraocular implants. In this paper, a self-calibrating 512-channel epiretinal prosthesis in 65nm CMOS is presented. It features dual-band telemetry for power and data, clock recovery, a 2-step calibration technique to match biphasic stimulation currents, and an independent arbitrary output waveform per channel. The implant integrates coils (power and data), IC, external capacitors and electrode array using a biocompatible parylene substrate, providing a fully intraocular solution.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73287876","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 25
A super-regenerative radio on plastic based on thin-film transistors and antennas on large flexible sheets for distributed communication links 一种基于薄膜晶体管和天线的塑料超再生无线电,用于分布式通信链路
Pub Date : 2013-03-28 DOI: 10.1109/ISSCC.2013.6487814
Liechao Huang, W. Rieutort-Louis, Yingzhe Hu, J. Sanz-Robinson, S. Wagner, J. Sturm, N. Verma
Large-area electronics presents new form factors, enabling ubiquitous systems that are flexible and capable of scaling to very large areas. By processing thin-film transistors (TFTs) at low temperatures on plastic (using organics, amorphous silicon, metal oxides, etc.), blocks such as ADCs, amplifiers, and processors can be realized [1,2]; however, aside from short-range RFID tags [3], wireless links for long-range communication have not been achieved. A key challenge is that wireless systems typically depend on the ability to generate and operate at high frequencies, yet TFTs are limited to very low performance (ft ~1MHz). Specifically, the challenge is low device gm, due to low mobility and limited gate-dielectric scalability, as well as high device capacitance, due to limited feature scalability and large overlaps for alignment margining on flexible substrates. This work presents a super-regenerative (SR) transceiver with integrated antenna on plastic that leverages the attribute of large area to create highquality passives; this enables resonant TFT circuits at high frequencies (near ft) and allows for large antennas, maximizing the communication distance. The resulting carrier frequency is 900kHz, and the range is over 12m (at 2kb/s). As shown in Fig. 25.10.1, this will enable sheets with integrated arrays of radio frontends for distributing a large number of communication links over large areas.
大面积电子产品呈现出新的外形因素,使无处不在的系统变得灵活,能够扩展到非常大的区域。通过在塑料上低温加工薄膜晶体管(TFTs)(使用有机物、非晶硅、金属氧化物等),可以实现adc、放大器和处理器等模块[1,2];然而,除了短距离RFID标签[3]外,远程通信的无线链路尚未实现。一个关键的挑战是,无线系统通常依赖于在高频下产生和运行的能力,而tft的性能却很低(ft ~1MHz)。具体来说,由于低迁移率和有限的栅极介电可扩展性,以及由于有限的特征可扩展性和柔性基板上对齐边缘的大重叠而导致的高器件电容的挑战是低器件通用度。本研究提出了一种具有塑料集成天线的超再生(SR)收发器,该收发器利用大面积的特性来创建高质量的无源;这使得谐振TFT电路在高频(近英尺),并允许大型天线,最大限度地提高通信距离。由此产生的载波频率为900kHz,范围超过12m (2kb/s)。如图25.10.1所示,这将使具有无线电前端集成阵列的片能够在大面积上分布大量通信链路。
{"title":"A super-regenerative radio on plastic based on thin-film transistors and antennas on large flexible sheets for distributed communication links","authors":"Liechao Huang, W. Rieutort-Louis, Yingzhe Hu, J. Sanz-Robinson, S. Wagner, J. Sturm, N. Verma","doi":"10.1109/ISSCC.2013.6487814","DOIUrl":"https://doi.org/10.1109/ISSCC.2013.6487814","url":null,"abstract":"Large-area electronics presents new form factors, enabling ubiquitous systems that are flexible and capable of scaling to very large areas. By processing thin-film transistors (TFTs) at low temperatures on plastic (using organics, amorphous silicon, metal oxides, etc.), blocks such as ADCs, amplifiers, and processors can be realized [1,2]; however, aside from short-range RFID tags [3], wireless links for long-range communication have not been achieved. A key challenge is that wireless systems typically depend on the ability to generate and operate at high frequencies, yet TFTs are limited to very low performance (ft ~1MHz). Specifically, the challenge is low device gm, due to low mobility and limited gate-dielectric scalability, as well as high device capacitance, due to limited feature scalability and large overlaps for alignment margining on flexible substrates. This work presents a super-regenerative (SR) transceiver with integrated antenna on plastic that leverages the attribute of large area to create highquality passives; this enables resonant TFT circuits at high frequencies (near ft) and allows for large antennas, maximizing the communication distance. The resulting carrier frequency is 900kHz, and the range is over 12m (at 2kb/s). As shown in Fig. 25.10.1, this will enable sheets with integrated arrays of radio frontends for distributing a large number of communication links over large areas.","PeriodicalId":6378,"journal":{"name":"2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87078352","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
期刊
2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1