首页 > 最新文献

2010 IEEE International Solid-State Circuits Conference - (ISSCC)最新文献

英文 中文
F4: High-speed image sensor technologies F4:高速图像传感器技术
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433858
Johannes Solhusvik, J. Ahn, J. Bosiers, B. Fowler, M. Ikeda, S. Kawahito, Jerry Lin, Dan McGrath, Katsu Nakamura, J. Ohta, Ramchan Woo
High speed imaging is one of the fastest growing semiconductor markets. Growth is currently driven by consumer and industrial applications such as HD video, slow motion play-back, machine vision, 3D range capture, and robotics. This forum will present chip architectures, circuits, and system-level solutions used in CCD and CMOS image sensors for high speed cameras. Technology topics include photon detection devices, pixel circuits and array readout circuits, A/D converters, image processing and interface circuits presented by world leading experts from industry and academia. The potential applications of this technology will be demonstrated by ultra high speed capture solutions for 3D range imaging and robotics. For advanced applications, techniques for outputing high-throughput pixel data using analog or digital interfaces are described. The forum will conclude with a panel discussion where the attendees have the opportunity to ask questions and to share their views, and this all-day forum encourages open information exchange. The targeted participants are circuit designers and concept engineers working on image sensor and camera system design.
高速成像是增长最快的半导体市场之一。目前的增长是由消费者和工业应用驱动的,如高清视频、慢动作回放、机器视觉、3D范围捕捉和机器人技术。本次论坛将介绍用于高速相机的CCD和CMOS图像传感器的芯片架构、电路和系统级解决方案。技术主题包括光子探测器件、像素电路和阵列读出电路、A/D转换器、图像处理和接口电路,由工业界和学术界的世界领先专家介绍。这项技术的潜在应用将通过3D距离成像和机器人的超高速捕获解决方案来展示。对于高级应用,描述了使用模拟或数字接口输出高吞吐量像素数据的技术。论坛将以小组讨论结束,与会者有机会提问和分享他们的观点,这个全天的论坛鼓励公开的信息交流。目标参与者是从事图像传感器和相机系统设计的电路设计师和概念工程师。
{"title":"F4: High-speed image sensor technologies","authors":"Johannes Solhusvik, J. Ahn, J. Bosiers, B. Fowler, M. Ikeda, S. Kawahito, Jerry Lin, Dan McGrath, Katsu Nakamura, J. Ohta, Ramchan Woo","doi":"10.1109/ISSCC.2010.5433858","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433858","url":null,"abstract":"High speed imaging is one of the fastest growing semiconductor markets. Growth is currently driven by consumer and industrial applications such as HD video, slow motion play-back, machine vision, 3D range capture, and robotics. This forum will present chip architectures, circuits, and system-level solutions used in CCD and CMOS image sensors for high speed cameras. Technology topics include photon detection devices, pixel circuits and array readout circuits, A/D converters, image processing and interface circuits presented by world leading experts from industry and academia. The potential applications of this technology will be demonstrated by ultra high speed capture solutions for 3D range imaging and robotics. For advanced applications, techniques for outputing high-throughput pixel data using analog or digital interfaces are described. The forum will conclude with a panel discussion where the attendees have the opportunity to ask questions and to share their views, and this all-day forum encourages open information exchange. The targeted participants are circuit designers and concept engineers working on image sensor and camera system design.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77841550","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A 13.1% tuning range 115GHz frequency generator based on an injection-locked frequency doubler in 65nm CMOS 基于注入锁定倍频器的13.1%调谐范围115GHz频率发生器
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433869
A. Mazzanti, E. Monaco, M. Pozzoni, F. Svelto
Ultra-scaled CMOS devices offer the possibility of operation beyond 100GHz where new applications are envisioned in the near future, including imaging and spectroscopy systems for scientific, medical, space, and industrial applications at low cost, light weight and easy assembly [1]. However, a long path toward complete systems of any commercial interest is required, even though simple building blocks have already been presented [2–6]. One of the challenges of such high-frequency transceivers is the on-chip reference generation. Adoption of a voltage-controlled oscillator (VCO) at fundamental frequency sets an increasingly severe trade-off between high spectral purity and frequency tuning due to a dramatic reduction of resonator quality factor and large parasitics introduced by active devices and buffers, operating close to the transition frequency. As an example, state-of-the-art varactor-tuned VCOs beyond 100GHz in standard CMOS technology display a tuning range of less than 3%, not enough to cover process spreads [3–5]. An alternative solution relies on frequency multiplication of a lower frequency reference, with the potential advantage of a higher tuning range and lower phase noise set by the lower frequency VCO enslaving the multiplier.
超尺度CMOS器件提供了超过100GHz的运行可能性,在不久的将来,将有新的应用,包括用于科学、医疗、空间和工业应用的成像和光谱系统,其成本低、重量轻、易于组装[1]。然而,即使已经提出了简单的构建模块[2-6],实现具有任何商业利益的完整系统仍需要漫长的道路。这种高频收发器的挑战之一是片上参考生成。在基频上采用压控振荡器(VCO),由于谐振器质量因子的显著降低,以及主源器件和缓冲器引入的较大寄生效应,在接近过渡频率的地方工作,使得高频谱纯度和频率调谐之间的权衡越来越严重。例如,标准CMOS技术中超过100GHz的最先进变容调谐vco显示的调谐范围小于3%,不足以覆盖工艺扩散[3-5]。另一种解决方案依赖于较低频率参考的频率倍增,其潜在优势是更高的调谐范围和较低的相位噪声,由较低频率的压控振荡器控制乘法器。
{"title":"A 13.1% tuning range 115GHz frequency generator based on an injection-locked frequency doubler in 65nm CMOS","authors":"A. Mazzanti, E. Monaco, M. Pozzoni, F. Svelto","doi":"10.1109/ISSCC.2010.5433869","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433869","url":null,"abstract":"Ultra-scaled CMOS devices offer the possibility of operation beyond 100GHz where new applications are envisioned in the near future, including imaging and spectroscopy systems for scientific, medical, space, and industrial applications at low cost, light weight and easy assembly [1]. However, a long path toward complete systems of any commercial interest is required, even though simple building blocks have already been presented [2–6]. One of the challenges of such high-frequency transceivers is the on-chip reference generation. Adoption of a voltage-controlled oscillator (VCO) at fundamental frequency sets an increasingly severe trade-off between high spectral purity and frequency tuning due to a dramatic reduction of resonator quality factor and large parasitics introduced by active devices and buffers, operating close to the transition frequency. As an example, state-of-the-art varactor-tuned VCOs beyond 100GHz in standard CMOS technology display a tuning range of less than 3%, not enough to cover process spreads [3–5]. An alternative solution relies on frequency multiplication of a lower frequency reference, with the potential advantage of a higher tuning range and lower phase noise set by the lower frequency VCO enslaving the multiplier.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75948464","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
A 320mV-to-1.2V on-die fine-grained reconfigurable fabric for DSP/media accelerators in 32nm CMOS 320mv -1.2 v片上细粒度可重构结构,用于32nm CMOS的DSP/媒体加速器
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433903
A. Agarwal, S. Mathew, S. Hsu, M. Anders, Himanshu Kaul, F. Sheikh, R. Ramanarayanan, S. Srinivasan, R. Krishnamurthy, S. Borkar
Computationally intensive DSP/media processing applications require specialized hardware accelerators to enable higher energy-efficiency on microprocessor platforms. On-die reconfigurable arrays enable flexible accelerators with dynamic on-the-fly programmability while amortizing die area and time-to-market costs across a wide range of workloads. An ultra-low-voltage fine-grained reconfigurable fabric consisting of a hybrid configurable logic block (CLB) array with process/voltage/temperature (PVT) variation-tolerant register file (Fig. 18.2.1), targeted for on-die acceleration of DSP/media algorithms on power-constrained mobile microprocessors, is fabricated in 32nm high-k/metal-gate CMOS [1]. The CLB combines self-decoded look-up tables (LUTs) for random logic with reconfigurable arithmetic building blocks, hybrid 3∶2 compressors with integrated partial product generation, configurable adder/multiplier carry propagation and optimized CLB input/output multiplexers to achieve peak energy-efficiency of 2.6TOPS/W measured at 340mV, 50°C. The register file includes programmable stacked shared keepers and interruptible operation of both write memory cells and set-dominant latches (SDLs), improving Vcc-min by 300mV across PVT variations with a wide dynamic operating range of 320mV–1.2V, enabling simultaneous dynamic supply/frequency optimization across target workloads and power budgets. These features also achieve: (i) nominal CLB performance of 2.4GHz, 5.3mW measured at 1.0V, (ii) robust CLB functionality measured at 260mV, 27MHz (sub-threshold) consuming 12µW, (iii) scalable register file performance up to 8.2GHz, 125mW measured at 1.2V, 50°C with low-voltage near-threshold operation at 320mV, 252MHz consuming 430µW, (iv) 4-tap FIR filter, radix-2 FFT butterfly and 16b string-match algorithms with peak throughput of 2.1GSamples/s, 2.4GSamples/s and 100Gbps respectively, and (v) application-dependent dual-supply power savings up to 34%.
计算密集型的DSP/媒体处理应用需要专门的硬件加速器,以便在微处理器平台上实现更高的能效。片上可重构阵列使灵活的加速器具有动态动态可编程性,同时在广泛的工作负载范围内分摊芯片面积和上市时间成本。一种超低电压细粒度可重构结构由混合可配置逻辑块(CLB)阵列和工艺/电压/温度(PVT)容差寄存器组成(图18.2.1),目标是在功率受限的移动微处理器上加速DSP/媒体算法,采用32nm高k/金属栅CMOS[1]制造。CLB将随机逻辑的自解码查找表(LUTs)与可重构算术构建块、集成部分积生成的混合型3∶2压缩器、可配置加法器/乘法器携带传播和优化的CLB输入/输出多路复用器结合在一起,在340mV、50°C下实现2.6TOPS/W的峰值能效。该寄存器文件包括可编程堆栈共享保存器和写入存储单元和集优势锁存器(sdl)的可中断操作,在320mV-1.2V的宽动态工作范围内,在PVT变化中将vc -min提高300mV,从而实现目标工作负载和功率预算之间的同步动态电源/频率优化。这些功能还可以实现:(i)标称CLB性能为2.4GHz,在1.0V下测量5.3mW, (ii)在260mV, 27MHz(亚阈值)下测量健壮的CLB功能,消耗12µW, (iii)可扩展的寄存器文件性能高达8.2GHz,在1.2V, 50°C下测量125mW,低压近阈值工作在320mV, 252MHz消耗430µW, (iv) 4抽头FIR滤波器,radix-2 FFT蝴蝶和16b字符串匹配算法,峰值吞吐量分别为2.1GSamples/s, 2.4GSamples/s和100Gbps。(v)基于应用的双电源节电高达34%。
{"title":"A 320mV-to-1.2V on-die fine-grained reconfigurable fabric for DSP/media accelerators in 32nm CMOS","authors":"A. Agarwal, S. Mathew, S. Hsu, M. Anders, Himanshu Kaul, F. Sheikh, R. Ramanarayanan, S. Srinivasan, R. Krishnamurthy, S. Borkar","doi":"10.1109/ISSCC.2010.5433903","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433903","url":null,"abstract":"Computationally intensive DSP/media processing applications require specialized hardware accelerators to enable higher energy-efficiency on microprocessor platforms. On-die reconfigurable arrays enable flexible accelerators with dynamic on-the-fly programmability while amortizing die area and time-to-market costs across a wide range of workloads. An ultra-low-voltage fine-grained reconfigurable fabric consisting of a hybrid configurable logic block (CLB) array with process/voltage/temperature (PVT) variation-tolerant register file (Fig. 18.2.1), targeted for on-die acceleration of DSP/media algorithms on power-constrained mobile microprocessors, is fabricated in 32nm high-k/metal-gate CMOS [1]. The CLB combines self-decoded look-up tables (LUTs) for random logic with reconfigurable arithmetic building blocks, hybrid 3∶2 compressors with integrated partial product generation, configurable adder/multiplier carry propagation and optimized CLB input/output multiplexers to achieve peak energy-efficiency of 2.6TOPS/W measured at 340mV, 50°C. The register file includes programmable stacked shared keepers and interruptible operation of both write memory cells and set-dominant latches (SDLs), improving Vcc-min by 300mV across PVT variations with a wide dynamic operating range of 320mV–1.2V, enabling simultaneous dynamic supply/frequency optimization across target workloads and power budgets. These features also achieve: (i) nominal CLB performance of 2.4GHz, 5.3mW measured at 1.0V, (ii) robust CLB functionality measured at 260mV, 27MHz (sub-threshold) consuming 12µW, (iii) scalable register file performance up to 8.2GHz, 125mW measured at 1.2V, 50°C with low-voltage near-threshold operation at 320mV, 252MHz consuming 430µW, (iv) 4-tap FIR filter, radix-2 FFT butterfly and 16b string-match algorithms with peak throughput of 2.1GSamples/s, 2.4GSamples/s and 100Gbps respectively, and (v) application-dependent dual-supply power savings up to 34%.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80936614","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 40
Negative-resistance read and write schemes for STT-MRAM in 0.13µm CMOS 0.13µm CMOS STT-MRAM的负电阻读写方案
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433943
D. Halupka, Safeen Huda, William Song, A. Sheikholeslami, K. Tsunoda, C. Yoshida, M. Aoki
Spin-torque-transfer (STT) magnetoresistive random-access memory (MRAM) [1–3], a successor to field-induced magnetic switching MRAM [4,5], is an emerging non-volatile memory technology that is CMOS-compatible, scalable, and allows for high-speed access. However, two circuit-level challenges remain for STT-MRAM: potentially destructive read access due to device variation and a high-power write access. This paper presents two STT-MRAM access schemes: a negative-resistance read scheme (NRRS) that guarantees non-destructive read by design, and a negative-resistance write scheme (NRWS) that, on average, reduces the write power consumption by 10.5%. A fabricated and measured test-chip in 0.13µm CMOS confirms both properties.
自旋转矩传递(STT)磁阻随机存取存储器(MRAM)[1-3]是场感应磁开关MRAM[4,5]的后继产品,是一种新兴的非易失性存储器技术,具有cmos兼容、可扩展和高速访问的特点。然而,STT-MRAM仍然存在两个电路级挑战:由于设备变化和高功率写访问而潜在的破坏性读访问。本文提出了两种STT-MRAM访问方案:一种是负阻读方案(NRRS),从设计上保证了非破坏性读,另一种是负阻写方案(NRWS),平均可将写功耗降低10.5%。制作和测量的0.13 μ m CMOS测试芯片证实了这两种特性。
{"title":"Negative-resistance read and write schemes for STT-MRAM in 0.13µm CMOS","authors":"D. Halupka, Safeen Huda, William Song, A. Sheikholeslami, K. Tsunoda, C. Yoshida, M. Aoki","doi":"10.1109/ISSCC.2010.5433943","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433943","url":null,"abstract":"Spin-torque-transfer (STT) magnetoresistive random-access memory (MRAM) [1–3], a successor to field-induced magnetic switching MRAM [4,5], is an emerging non-volatile memory technology that is CMOS-compatible, scalable, and allows for high-speed access. However, two circuit-level challenges remain for STT-MRAM: potentially destructive read access due to device variation and a high-power write access. This paper presents two STT-MRAM access schemes: a negative-resistance read scheme (NRRS) that guarantees non-destructive read by design, and a negative-resistance write scheme (NRWS) that, on average, reduces the write power consumption by 10.5%. A fabricated and measured test-chip in 0.13µm CMOS confirms both properties.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86523880","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 93
An analog organic first-order CT ΔΣ ADC on a flexible plastic substrate with 26.5dB precision 基于柔性塑料基板的模拟有机一阶CT ΔΣ ADC,精度为26.5dB
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5434022
H. Marien, M. Steyaert, N. Aerle, P. Heremans
Organic electronics is expected to find commercial applications in flexible displays, RFID tags and smart sensor systems, e.g. for food industry or biomedical applications. Key benefits of the technology are the direct production of transistors and circuits on flexible plastic foils, the possibility to directly integrate sensors, light sources, light detectors, a.o. with the same technology, and the low processing temperatures that warrant cost-efficient production. However, organic electronics technologies suffer from important drawbacks versus silicon based technologies, such as its intrinsically lower mobility, the large parameter variation and a very low intrinsic transistor gain (typically 5). Moreover as active components almost exclusively p-type transistors are available and as passive components only capacitors exist. In place of resistors, we are limited to only linear biased transistors. Work on organic RFID [1,2] and several types of organic sensors [3] has been presented. Analog designs in organic technology are in their infancy: a first differential amplifier with differential-mode gain of 10 was presented in [4]; design considerations for analog designs were discussed in [5]; a comparator was presented in [6]; and a 6-bit D/A converter based on a C-2C chain in [7]. In the present work, we disclose the first ADC designed, fabricated and measured in an organic technology on plastic foil with a fully analog design approach.
有机电子有望在柔性显示器,RFID标签和智能传感器系统中找到商业应用,例如食品工业或生物医学应用。该技术的主要优点是可以在柔性塑料箔上直接生产晶体管和电路,可以直接将传感器、光源、光探测器等集成到相同的技术中,而且加工温度低,保证了生产的成本效益。然而,与硅基技术相比,有机电子技术存在着重要的缺点,例如其固有的低迁移率、大的参数变化和非常低的固有晶体管增益(通常为5)。此外,作为有源元件,几乎只有p型晶体管可用,而作为无源元件,只有电容器存在。在电阻的地方,我们仅限于线性偏置晶体管。介绍了有机RFID[1,2]和几种类型的有机传感器[3]的工作。有机技术中的模拟设计尚处于起步阶段:[4]中提出了差分模增益为10的第一个差分放大器;[5]讨论了模拟设计的设计考虑;[6]中提出了一个比较器;以及基于C-2C链的6位D/ a转换器[7]。在本工作中,我们公开了第一个采用全模拟设计方法在塑料箔上采用有机技术设计、制造和测量的ADC。
{"title":"An analog organic first-order CT ΔΣ ADC on a flexible plastic substrate with 26.5dB precision","authors":"H. Marien, M. Steyaert, N. Aerle, P. Heremans","doi":"10.1109/ISSCC.2010.5434022","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5434022","url":null,"abstract":"Organic electronics is expected to find commercial applications in flexible displays, RFID tags and smart sensor systems, e.g. for food industry or biomedical applications. Key benefits of the technology are the direct production of transistors and circuits on flexible plastic foils, the possibility to directly integrate sensors, light sources, light detectors, a.o. with the same technology, and the low processing temperatures that warrant cost-efficient production. However, organic electronics technologies suffer from important drawbacks versus silicon based technologies, such as its intrinsically lower mobility, the large parameter variation and a very low intrinsic transistor gain (typically 5). Moreover as active components almost exclusively p-type transistors are available and as passive components only capacitors exist. In place of resistors, we are limited to only linear biased transistors. Work on organic RFID [1,2] and several types of organic sensors [3] has been presented. Analog designs in organic technology are in their infancy: a first differential amplifier with differential-mode gain of 10 was presented in [4]; design considerations for analog designs were discussed in [5]; a comparator was presented in [6]; and a 6-bit D/A converter based on a C-2C chain in [7]. In the present work, we disclose the first ADC designed, fabricated and measured in an organic technology on plastic foil with a fully analog design approach.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86948774","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 25
A PLL-based high-stability single-inductor 6-channel output DC-DC buck converter 基于锁相环的高稳定性单电感6通道输出DC-DC降压变换器
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433988
Kwang-Chan Lee, Chang-Seok Chae, Gyu-Ha Cho, G. Cho
Cost and size are very important issues for power-management ICs (PMICs), in particular for portable systems where typically multiple voltage levels are required to achieve multi functionality. To meet these requirements, a single-inductor multiple-output (SIMO) switching converter is a very strong candidate. SIMO converters have been the subject of many recent studies and reports [1–3]. The presented converters uses the current-mode controller and PWM with a constant switching frequency. However, designing the feedback control loop of the PWM converters is not an easy task since their stability inherently depends on the load conditions.
对于电源管理ic (pmic)来说,成本和尺寸是非常重要的问题,特别是对于通常需要多个电压电平来实现多功能的便携式系统。为了满足这些要求,单电感多输出(SIMO)开关转换器是一个非常强大的候选。SIMO转换器已成为最近许多研究和报道的主题[1-3]。该变换器采用恒定开关频率的电流型控制器和PWM。然而,设计PWM变换器的反馈控制回路并不是一件容易的事情,因为其稳定性本质上取决于负载条件。
{"title":"A PLL-based high-stability single-inductor 6-channel output DC-DC buck converter","authors":"Kwang-Chan Lee, Chang-Seok Chae, Gyu-Ha Cho, G. Cho","doi":"10.1109/ISSCC.2010.5433988","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433988","url":null,"abstract":"Cost and size are very important issues for power-management ICs (PMICs), in particular for portable systems where typically multiple voltage levels are required to achieve multi functionality. To meet these requirements, a single-inductor multiple-output (SIMO) switching converter is a very strong candidate. SIMO converters have been the subject of many recent studies and reports [1–3]. The presented converters uses the current-mode controller and PWM with a constant switching frequency. However, designing the feedback control loop of the PWM converters is not an easy task since their stability inherently depends on the load conditions.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87867160","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 49
A 3.9mW 25-electrode reconfigured thoracic impedance/ECG SoC with body-channel transponder 3.9mW 25电极重新配置胸廓阻抗/ECG SoC与身体通道应答器
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433833
Long Yan, Joonsung Bae, Seulki Lee, Binhee Kim, Taehwan Roh, Kiseok Song, H. Yoo
Recently, wearable heart monitoring systems have been developed for cardiovascular-related disease [1] with wearable body sensor network (WBSN) [2–3]. The WBSN introduced in [3] monitored ECG at maximum 48 points, and transferred data using arrayed inductive link for cm-range wireless inter-connectivity. However, most of the previous attempts were limited to sense only ECG signals at limited points [2] on the body with limited network coverage [3]. Thoracic impedance variance (TIV) from the change of aortic blood volume and velocity at each cardiac cycle provides important hemodynamic information (stroke volume, cardiac output). Combined with ECG signals from more than 6 points, it enables the early detection of abnormal symptoms of pandemic diseases like hypertension and heart failure so that the patients can take prophylactic measures [6]. In spite of its importance, the TIV detection was not realized in WBSN due to its requirement of high impedance (≪0.2Ω) detection sensitivity which needs to detect AM signal with modulation depth as low as less than 3%. A pure single tone sinusoidal current signal at 1kHz–100kHz [6] is required to realize such a high sensitivity, and only a bulky implementation was reported so far [7]. In this paper, we report a 3.9mW low power SoC with body-channel-transceiver (BCT), which can detect TIV (0.1Ω) and ECG (up to 8 points) concurrently. The chip is integrated on a 4-layer fabric circuit board with thin flexible battery as a poultice-like plaster. In addition, it can reconfigure the 25-electrode array and optimize them in-situ to automatically consider the user dependency of the TIV/ECG signals. The recorded data is transmitted at 1Mbps through body-channel-communication (BCC) [8] with duty cycle modification to extend battery life time and enlarge the network coverage.
近年来,基于可穿戴身体传感器网络(WBSN)的可穿戴式心脏监测系统被开发用于心血管相关疾病[1][2-3]。[3]中引入的WBSN最多监测48个心电点,并使用阵列感应链路传输数据,实现厘米范围的无线互联。然而,之前的大多数尝试仅限于在有限的网络覆盖范围[3]下,仅在身体上有限的点[2]感知心电信号。每个心动周期主动脉血容量和速度变化的胸阻抗方差(TIV)提供了重要的血流动力学信息(搏量、心输出量)。结合6点以上的心电信号,可早期发现高血压、心力衰竭等大流行疾病的异常症状,及时采取预防措施[6]。尽管TIV探测很重要,但由于其要求高阻抗(0.2Ω)探测灵敏度,需要探测调制深度低于3%的调幅信号,因此未能在WBSN中实现TIV探测。要实现如此高的灵敏度,需要1kHz-100kHz的纯单音正弦电流信号[6],到目前为止只报道了一个庞大的实现[7]。在本文中,我们报告了一种3.9mW低功耗SoC,具有体通道收发器(BCT),可以同时检测TIV (0.1Ω)和ECG(最多8个点)。该芯片集成在一个4层织物电路板上,薄的柔性电池就像膏药一样。此外,它还可以重新配置25个电极阵列并对其进行原位优化,以自动考虑用户对TIV/ECG信号的依赖性。记录的数据通过体通道通信(BCC)以1Mbps的速度传输[8],并修改占空比以延长电池寿命,扩大网络覆盖范围。
{"title":"A 3.9mW 25-electrode reconfigured thoracic impedance/ECG SoC with body-channel transponder","authors":"Long Yan, Joonsung Bae, Seulki Lee, Binhee Kim, Taehwan Roh, Kiseok Song, H. Yoo","doi":"10.1109/ISSCC.2010.5433833","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433833","url":null,"abstract":"Recently, wearable heart monitoring systems have been developed for cardiovascular-related disease [1] with wearable body sensor network (WBSN) [2–3]. The WBSN introduced in [3] monitored ECG at maximum 48 points, and transferred data using arrayed inductive link for cm-range wireless inter-connectivity. However, most of the previous attempts were limited to sense only ECG signals at limited points [2] on the body with limited network coverage [3]. Thoracic impedance variance (TIV) from the change of aortic blood volume and velocity at each cardiac cycle provides important hemodynamic information (stroke volume, cardiac output). Combined with ECG signals from more than 6 points, it enables the early detection of abnormal symptoms of pandemic diseases like hypertension and heart failure so that the patients can take prophylactic measures [6]. In spite of its importance, the TIV detection was not realized in WBSN due to its requirement of high impedance (≪0.2Ω) detection sensitivity which needs to detect AM signal with modulation depth as low as less than 3%. A pure single tone sinusoidal current signal at 1kHz–100kHz [6] is required to realize such a high sensitivity, and only a bulky implementation was reported so far [7]. In this paper, we report a 3.9mW low power SoC with body-channel-transceiver (BCT), which can detect TIV (0.1Ω) and ECG (up to 8 points) concurrently. The chip is integrated on a 4-layer fabric circuit board with thin flexible battery as a poultice-like plaster. In addition, it can reconfigure the 25-electrode array and optimize them in-situ to automatically consider the user dependency of the TIV/ECG signals. The recorded data is transmitted at 1Mbps through body-channel-communication (BCC) [8] with duty cycle modification to extend battery life time and enlarge the network coverage.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90952061","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 25
POWER7TM local clocking and clocked storage elements POWER7TM本地时钟和时钟存储元件
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433995
J. Warnock, L. Sigal, D. Wendel, K. Muller, J. Friedrich, V. Zyuban, E. Cannon, A. KleinOsowski
The design of the clocked storage elements (CSEs) and associated local clocking circuitry is a critical consideration for modern microprocessor projects[1], and the POWER7™ chip[2], designed in a 45nm silicon-on-insulator (SOI) technology, was no exception. The digital logic contained over 2M CSEs, and the design of these elements had a major impact not only on the area, power, and performance of the chip, but also on the reliability, testability, and the ability to debug and optimize the hardware. This paper will focus on the special features added to the CSE design with these considerations in mind.
时钟存储元件(cse)和相关本地时钟电路的设计是现代微处理器项目的关键考虑因素[1],采用45nm绝缘体上硅(SOI)技术设计的POWER7™芯片[2]也不例外。数字逻辑包含超过2M个cse,这些元件的设计不仅对芯片的面积、功率和性能有重大影响,而且对可靠性、可测试性以及调试和优化硬件的能力也有重大影响。本文将重点讨论添加到CSE设计中的特殊功能,并牢记这些注意事项。
{"title":"POWER7TM local clocking and clocked storage elements","authors":"J. Warnock, L. Sigal, D. Wendel, K. Muller, J. Friedrich, V. Zyuban, E. Cannon, A. KleinOsowski","doi":"10.1109/ISSCC.2010.5433995","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433995","url":null,"abstract":"The design of the clocked storage elements (CSEs) and associated local clocking circuitry is a critical consideration for modern microprocessor projects[1], and the POWER7™ chip[2], designed in a 45nm silicon-on-insulator (SOI) technology, was no exception. The digital logic contained over 2M CSEs, and the design of these elements had a major impact not only on the area, power, and performance of the chip, but also on the reliability, testability, and the ability to debug and optimize the hardware. This paper will focus on the special features added to the CSE design with these considerations in mind.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81230319","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 26
Within-die variation-aware dynamic-voltage-frequency scaling core mapping and thread hopping for an 80-core processor 80核处理器的芯片内可变感知动态电压频率缩放内核映射和线程跳变
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433997
S. Dighe, S. Vangal, Paolo A. Aseron, Shasi Kumar, Tiju Jacob, K. Bowman, J. Howard, J. Tschanz, V. Erraguntla, N. Borkar, V. De, S. Borkar
Many-core processors with on-die network-on-chip (NoC) interconnects have emerged as viable architectures for Single-Instruction/Multiple-Data (SIMD) vector applications and parallel workloads, and have been implemented in 65nm CMOS with Dynamic Voltage-Frequency Scaling (DVFS). Chips with Single-Voltage/Single-Frequency (SVSF) for all cores running homogeneous threads as well as Multiple-Voltage/Multiple-Frequency (MVMF), running heterogeneous applications and using independent V/F control for each core, have been reported. Combination of DVFS with dynamic core-count scaling (or DVFCS) has been proposed to further improve performance & energy efficiency across varying workloads. With technology scaling, both leakage power and core-to-core variations in frequency (Fmax) & leakage due to within-die device parameter variations have become significant, thus creating the need for per-core power gating and variation-aware DVFCS. Recently, variation-aware core mapping has been investigated using high level architectural simulations and statistical variation models.
具有片上网络片上(NoC)互连的多核处理器已经成为单指令/多数据(SIMD)矢量应用和并行工作负载的可行架构,并已在具有动态电压频率缩放(DVFS)的65nm CMOS中实现。已经有报道称,所有内核都可以使用单电压/单频率(SVSF)运行同质线程,也可以使用多电压/多频率(MVMF)运行异构应用程序,并对每个内核使用独立的V/F控制。已经提出将DVFS与动态核心计数缩放(DVFCS)相结合,以进一步提高不同工作负载的性能和能源效率。随着技术的扩展,泄漏功率和核对核频率变化(Fmax)以及由于芯片内器件参数变化引起的泄漏都变得非常重要,因此需要每核功率门控和变化感知DVFCS。最近,利用高层建筑模拟和统计变化模型研究了变化感知的核心映射。
{"title":"Within-die variation-aware dynamic-voltage-frequency scaling core mapping and thread hopping for an 80-core processor","authors":"S. Dighe, S. Vangal, Paolo A. Aseron, Shasi Kumar, Tiju Jacob, K. Bowman, J. Howard, J. Tschanz, V. Erraguntla, N. Borkar, V. De, S. Borkar","doi":"10.1109/ISSCC.2010.5433997","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433997","url":null,"abstract":"Many-core processors with on-die network-on-chip (NoC) interconnects have emerged as viable architectures for Single-Instruction/Multiple-Data (SIMD) vector applications and parallel workloads, and have been implemented in 65nm CMOS with Dynamic Voltage-Frequency Scaling (DVFS). Chips with Single-Voltage/Single-Frequency (SVSF) for all cores running homogeneous threads as well as Multiple-Voltage/Multiple-Frequency (MVMF), running heterogeneous applications and using independent V/F control for each core, have been reported. Combination of DVFS with dynamic core-count scaling (or DVFCS) has been proposed to further improve performance & energy efficiency across varying workloads. With technology scaling, both leakage power and core-to-core variations in frequency (Fmax) & leakage due to within-die device parameter variations have become significant, thus creating the need for per-core power gating and variation-aware DVFCS. Recently, variation-aware core mapping has been investigated using high level architectural simulations and statistical variation models.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75733621","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 62
A 40GS/s 6b ADC in 65nm CMOS 采用65nm CMOS的40GS/s 6b ADC
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433972
Y. Greshishchev, J. Aguirre, M. Besson, R. Gibbins, C. Falt, Philip Flemke, Naim Ben-Hamida, D. Pollex, P. Schvan, Shing-Chi Wang
Progress in 40Gb/s optical dual- polarization (DP) QPSK systems inspired an idea of 100G transmission by optical frequency division multiplexing (FDM) of QPSK-modulated channels [1]. A practical solution suggests two 58Gb/s DP QPSK channels, spaced by 50GHz (Fig. 21.7.1). The challenge is in implementing a 6b ADC operating at sampling rate of 29Gs/s, as compared to 24Gs/s reported before [2]. The other challenge is reduction of ADC sampling jitter. In an interleaved architecture, jitter is limited by the timing mismatch between the clocks of T&H circuits. While initial timing error is compensated during ADC calibration, its spread over the input frequency range and drift may still impact jitter performance. This paper presents, to our knowledge for the first time, a 6b ADC operating up to 40Gs/s with power dissipation ≪ 1.5W. The 30% margin for the sampling rate reduces interleaved timing errors and therefore sampling jitter below 0.25ps-rms. The ADC also includes on-chip test signal synthesizer that generates a gigahertz range sinusoidal signal to simplify production testing.
40Gb/s光双偏振(DP) QPSK系统的进展激发了通过QPSK调制通道的光频分复用(FDM)传输100G的想法[1]。一个实用的解决方案是两个58Gb/s的DP QPSK通道,间隔50GHz(图21.7.1)。挑战在于实现以29Gs/s采样率工作的6b ADC,而之前报道的采样率为24Gs/s[2]。另一个挑战是减少ADC采样抖动。在交错结构中,抖动受到T&H电路时钟之间时序不匹配的限制。虽然在ADC校准期间补偿了初始定时误差,但其在输入频率范围内的扩散和漂移仍可能影响抖动性能。据我们所知,本文首次介绍了一种工作速度高达40Gs/s、功耗≪1.5W的6b ADC。采样率的30%余量减少了交错时序误差,因此采样抖动低于0.25ps-rms。该ADC还包括片上测试信号合成器,可生成千兆赫范围的正弦信号,以简化生产测试。
{"title":"A 40GS/s 6b ADC in 65nm CMOS","authors":"Y. Greshishchev, J. Aguirre, M. Besson, R. Gibbins, C. Falt, Philip Flemke, Naim Ben-Hamida, D. Pollex, P. Schvan, Shing-Chi Wang","doi":"10.1109/ISSCC.2010.5433972","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433972","url":null,"abstract":"Progress in 40Gb/s optical dual- polarization (DP) QPSK systems inspired an idea of 100G transmission by optical frequency division multiplexing (FDM) of QPSK-modulated channels [1]. A practical solution suggests two 58Gb/s DP QPSK channels, spaced by 50GHz (Fig. 21.7.1). The challenge is in implementing a 6b ADC operating at sampling rate of 29Gs/s, as compared to 24Gs/s reported before [2]. The other challenge is reduction of ADC sampling jitter. In an interleaved architecture, jitter is limited by the timing mismatch between the clocks of T&H circuits. While initial timing error is compensated during ADC calibration, its spread over the input frequency range and drift may still impact jitter performance. This paper presents, to our knowledge for the first time, a 6b ADC operating up to 40Gs/s with power dissipation ≪ 1.5W. The 30% margin for the sampling rate reduces interleaved timing errors and therefore sampling jitter below 0.25ps-rms. The ADC also includes on-chip test signal synthesizer that generates a gigahertz range sinusoidal signal to simplify production testing.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75853802","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 111
期刊
2010 IEEE International Solid-State Circuits Conference - (ISSCC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1