首页 > 最新文献

2010 IEEE International Solid-State Circuits Conference - (ISSCC)最新文献

英文 中文
Fully depleted extremely thin SOI for mainstream 20nm low-power technology and beyond 完全耗尽极薄SOI主流20nm低功耗技术和超越
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5434014
Ali Khaki-Firooz, K. Cheng, B. Jagannathan, P. Kulkarni, J. Sleight, D. Shahrjerdi, Josephine B. Chang, Sungjae Lee, Junjun Li, H. Bu, R. Gauthier, B. Doris, G. Shahidi
Extremely thin SOI (ETSOI) MOSFET is an attractive candidate for 22nm technology and beyond due to its excellent short channel control, low leakage current, and immunity to random dopant fluctuation [1–5]. Short channel effects are mainly controlled by channel thickness, so there is no need for aggressive scaling of the gate dielectric. Thus the gate leakage is reduced beyond what is achievable in high-k bulk technologies. Low-power operation is further enhanced by negligible GIDL current due to the undoped channel. In addition, ETSOI devices have inherently no junction leakage by the virtue of thin silicon channel. Higher gate voltage overdrive is achieved for a given supply voltage compared to bulk technologies due to smaller subthreshold slope. This enables low-VDD logic operation. Moreover, low-VDD SRAM functionality is supported by small VT- mismatch in undoped channel [5]. In conventional CMOS technologies, complete device redesign is needed if VT changes are required. In ETSOI, however, threshold voltage is tuned through gate workfunction modulation without change in the channel doping. Thus VT tuning is to a large extent decoupled from device scaling.
极薄SOI (ETSOI) MOSFET因其出色的短通道控制、低泄漏电流和抗随机掺杂波动能力而成为22nm及以上技术的有吸引力的候选[1-5]。短沟道效应主要由沟道厚度控制,因此不需要栅极电介质的严重结垢。因此,栅极泄漏比高k块技术所能达到的更低。由于未掺杂的通道,低功耗操作进一步增强了可忽略不计的GIDL电流。此外,ETSOI器件由于具有薄硅沟道而具有固有的无结漏。由于亚阈值斜率较小,与批量技术相比,在给定的电源电压下实现了更高的栅极电压过载。这允许低vdd逻辑操作。此外,在未掺杂的通道[5]中,较小的VT-失配支持了低vdd SRAM功能。在传统的CMOS技术中,如果需要改变VT,则需要重新设计整个器件。然而,在ETSOI中,阈值电压通过栅极功函数调制而不改变通道掺杂。因此,VT调谐在很大程度上与设备缩放解耦。
{"title":"Fully depleted extremely thin SOI for mainstream 20nm low-power technology and beyond","authors":"Ali Khaki-Firooz, K. Cheng, B. Jagannathan, P. Kulkarni, J. Sleight, D. Shahrjerdi, Josephine B. Chang, Sungjae Lee, Junjun Li, H. Bu, R. Gauthier, B. Doris, G. Shahidi","doi":"10.1109/ISSCC.2010.5434014","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5434014","url":null,"abstract":"Extremely thin SOI (ETSOI) MOSFET is an attractive candidate for 22nm technology and beyond due to its excellent short channel control, low leakage current, and immunity to random dopant fluctuation [1–5]. Short channel effects are mainly controlled by channel thickness, so there is no need for aggressive scaling of the gate dielectric. Thus the gate leakage is reduced beyond what is achievable in high-k bulk technologies. Low-power operation is further enhanced by negligible GIDL current due to the undoped channel. In addition, ETSOI devices have inherently no junction leakage by the virtue of thin silicon channel. Higher gate voltage overdrive is achieved for a given supply voltage compared to bulk technologies due to smaller subthreshold slope. This enables low-VDD logic operation. Moreover, low-VDD SRAM functionality is supported by small VT- mismatch in undoped channel [5]. In conventional CMOS technologies, complete device redesign is needed if VT changes are required. In ETSOI, however, threshold voltage is tuned through gate workfunction modulation without change in the channel doping. Thus VT tuning is to a large extent decoupled from device scaling.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88949828","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 39
A 45nm SOI-CMOS dual-PLL processor clock system for multi-protocol I/O 一个45nm SOI-CMOS双锁相环处理器时钟系统,用于多协议I/O
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433942
D. Fischette, A. Loke, Michael M. Oshima, B. Doyle, Roland Bakalski, Richard Joseph DeSantis, Anand Thiruvengadam, C. L. Wang, G. Talbot, E. Fang
As processors emerge with multiple wireline interfaces for high-performance digital media, a common multi-protocol clock system is essential for cost and power reduction. We present a 45nm SOI-CMOS system that clocks an 8-lane processor I/O designed for PCI Express®, DisplayPort, and TMDS. Its ring-VCO PLL (RO-PLL) achieves 0.99ps rms jitter that can be reduced further to 0.55ps upon switching to its auxiliary LC-VCO PLL (LC-PLL). As seen in Fig. 13.2.1, the clock system contains the two independent frequency synthesizers, an arrangement of programmable dividers to provide the required frequencies, and clock distribution circuitry. Furthermore, design-for-test features are embedded to correct for PVT variation for optimum jitter performance and to monitor PLL bandwidth and jitter peaking.
随着高性能数字媒体处理器具有多个有线接口的出现,一个通用的多协议时钟系统对于降低成本和功耗至关重要。我们提出了一种45nm SOI-CMOS系统,该系统时钟为PCI Express®,DisplayPort和TMDS设计的8通道处理器I/O。它的环压控锁相环(RO-PLL)实现0.99ps的有效值抖动,在切换到辅助LC-VCO锁相环(LC-PLL)时可以进一步降低到0.55ps。如图13.2.1所示,时钟系统包含两个独立的频率合成器、一组可编程分频器以提供所需频率,以及时钟分配电路。此外,设计测试功能嵌入纠正PVT变化,以获得最佳抖动性能,并监测锁相环带宽和抖动峰值。
{"title":"A 45nm SOI-CMOS dual-PLL processor clock system for multi-protocol I/O","authors":"D. Fischette, A. Loke, Michael M. Oshima, B. Doyle, Roland Bakalski, Richard Joseph DeSantis, Anand Thiruvengadam, C. L. Wang, G. Talbot, E. Fang","doi":"10.1109/ISSCC.2010.5433942","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433942","url":null,"abstract":"As processors emerge with multiple wireline interfaces for high-performance digital media, a common multi-protocol clock system is essential for cost and power reduction. We present a 45nm SOI-CMOS system that clocks an 8-lane processor I/O designed for PCI Express®, DisplayPort, and TMDS. Its ring-VCO PLL (RO-PLL) achieves 0.99ps rms jitter that can be reduced further to 0.55ps upon switching to its auxiliary LC-VCO PLL (LC-PLL). As seen in Fig. 13.2.1, the clock system contains the two independent frequency synthesizers, an arrangement of programmable dividers to provide the required frequencies, and clock distribution circuitry. Furthermore, design-for-test features are embedded to correct for PVT variation for optimum jitter performance and to monitor PLL bandwidth and jitter peaking.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87151217","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 24
A 90GHz-carrier 30GHz-bandwidth hybrid switching transmitter with integrated antenna 带有集成天线的90ghz载波30ghz带宽混合交换发射机
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433852
A. Arbabian, B. Afshar, Jun-Chau Chien, Shinwon Kang, Steven Callender, E. Adabi, S. D. Toso, R. Pilard, D. Gloria, A. Niknejad
There is considerable interest in wideband pulse modulation at mm-Wave frequencies for application in radar and medical imaging systems [1,2]. Accuracy and resolution in these respective systems are determined by the minimum pulse width (PW). PWs down to 300ps have previously been reported for 24/79GHz carrier frequencies [1,3]. This paper presents the design of the first pulse-based transmitter with integrated antenna to achieve sub-100ps PWs at mm-Wave frequencies in silicon. The transmitter generates variable measured PWs in the range of 35 to 376ps. To obtain this performance, hybrid PA/antenna switching has been explored in combination with high-speed digital switching circuitry.
在雷达和医学成像系统中应用毫米波频率的宽带脉冲调制有相当大的兴趣[1,2]。这些系统的精度和分辨率是由最小脉冲宽度(PW)决定的。此前有报道称,在24/79GHz载波频率下,PWs可降至300ps[1,3]。本文提出了第一个基于集成天线的脉冲发射机的设计,以在硅材料中实现毫米波频率下100ps以下的PWs。发射机产生35到376ps范围内的可变测量功率。为了获得这一性能,人们探索了将PA/天线混合开关与高速数字开关电路相结合的方法。
{"title":"A 90GHz-carrier 30GHz-bandwidth hybrid switching transmitter with integrated antenna","authors":"A. Arbabian, B. Afshar, Jun-Chau Chien, Shinwon Kang, Steven Callender, E. Adabi, S. D. Toso, R. Pilard, D. Gloria, A. Niknejad","doi":"10.1109/ISSCC.2010.5433852","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433852","url":null,"abstract":"There is considerable interest in wideband pulse modulation at mm-Wave frequencies for application in radar and medical imaging systems [1,2]. Accuracy and resolution in these respective systems are determined by the minimum pulse width (PW). PWs down to 300ps have previously been reported for 24/79GHz carrier frequencies [1,3]. This paper presents the design of the first pulse-based transmitter with integrated antenna to achieve sub-100ps PWs at mm-Wave frequencies in silicon. The transmitter generates variable measured PWs in the range of 35 to 376ps. To obtain this performance, hybrid PA/antenna switching has been explored in combination with high-speed digital switching circuitry.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82403844","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 24
A 6.8mW 7.4Gb/s clock-forwarded receiver with up to 300MHz jitter tracking in 65nm CMOS 一个6.8mW 7.4Gb/s时钟转发接收器,在65nm CMOS中具有高达300MHz的抖动跟踪
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5434007
Masum Hossain, A. C. Carusone
High density multilink interfaces such as QPI and HyperTransport include a dedicated link to carry a synchronous clock from the transmitter to receiver and shared by 5 – 20 data transceivers. Sub-rate clocks ameliorate jitter amplification in lossy channels. The forwarded clock must be frequency-multiplied and aligned with the data at each receiver. Per pin deskewing is done at startup [1]; the optimum deskew setting is stored and the calibration circuitry turned off during normal operation. Jitter on the forwarded clock is correlated with jitter on the data because both are generated by the same transmitter. Hence, jitter tolerance is improved by retiming the data with a clock that tracks correlated jitter on the forwarded clock [2]. However, since the delay of the data and clock paths typically differ by several UI, very high frequency jitter will appear out-of-phase at the receiver and should not be tracked. For a delay mismatch of L UI between clock and data, jitter tolerance is improved by tracking jitter up to fbit /4L [2]. If the mismatch is 5UI, at 4Gb/s and 8Gb/s the clock path jitter tracking bandwidth (JTB) should be 200MHz and 400MHz respectively. In summary, the clock path in a clock forwarded transceiver should provide flexible clock multiplication, a controlled phase shift, and a JTB adjustable over 100's of MHz to accommodate different channel losses, bit rates, and path delay mismatches.
高密度多链路接口,如QPI和HyperTransport,包括一条专用链路,将同步时钟从发送端传送到接收端,并由5 - 20个数据收发器共享。子速率时钟改善了有损信道中的抖动放大。转发的时钟必须乘以频率,并与每个接收器的数据保持一致。每针倾斜在启动时完成[1];最佳的桌面设置存储和校准电路关闭在正常操作期间。转发时钟上的抖动与数据上的抖动是相关的,因为两者都是由同一发射机产生的。因此,通过使用跟踪转发时钟上相关抖动的时钟对数据进行重定时,可以提高抖动容忍度[2]。然而,由于数据和时钟路径的延迟通常相差几个UI,因此接收器将出现高频抖动,不应跟踪。对于时钟和数据之间的L UI延迟不匹配,通过跟踪高达fbit /4L的抖动来提高抖动容忍度[2]。如果不匹配是5UI,在4Gb/s和8Gb/s时,时钟路径抖动跟踪带宽(JTB)应该分别为200MHz和400MHz。总之,时钟转发收发器中的时钟路径应该提供灵活的时钟倍增、可控的相移和100 MHz以上可调的JTB,以适应不同的信道损耗、比特率和路径延迟不匹配。
{"title":"A 6.8mW 7.4Gb/s clock-forwarded receiver with up to 300MHz jitter tracking in 65nm CMOS","authors":"Masum Hossain, A. C. Carusone","doi":"10.1109/ISSCC.2010.5434007","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5434007","url":null,"abstract":"High density multilink interfaces such as QPI and HyperTransport include a dedicated link to carry a synchronous clock from the transmitter to receiver and shared by 5 – 20 data transceivers. Sub-rate clocks ameliorate jitter amplification in lossy channels. The forwarded clock must be frequency-multiplied and aligned with the data at each receiver. Per pin deskewing is done at startup [1]; the optimum deskew setting is stored and the calibration circuitry turned off during normal operation. Jitter on the forwarded clock is correlated with jitter on the data because both are generated by the same transmitter. Hence, jitter tolerance is improved by retiming the data with a clock that tracks correlated jitter on the forwarded clock [2]. However, since the delay of the data and clock paths typically differ by several UI, very high frequency jitter will appear out-of-phase at the receiver and should not be tracked. For a delay mismatch of L UI between clock and data, jitter tolerance is improved by tracking jitter up to fbit /4L [2]. If the mismatch is 5UI, at 4Gb/s and 8Gb/s the clock path jitter tracking bandwidth (JTB) should be 200MHz and 400MHz respectively. In summary, the clock path in a clock forwarded transceiver should provide flexible clock multiplication, a controlled phase shift, and a JTB adjustable over 100's of MHz to accommodate different channel losses, bit rates, and path delay mismatches.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89425627","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 18
Digitally assisted discontinuous conduction mode 5V/100MHz and 10V/45MHz DC-DC boost converters with integrated Schottky diodes in standard 0.13µm CMOS 数字辅助断续传导模式5V/100MHz和10V/45MHz DC-DC升压转换器集成肖特基二极管在标准0.13µm CMOS
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433983
Pengfei Li, Lin Xue, Deepak Bhatia, R. Bashirullah
On-chip or on-package integration of step-up DC-DC converters in a low-voltage process can be attractive for smaller and lighter portable devices powered from single-cell batteries (i.e., NiMH, Fuel cells). To reduce the size of the off-chip components both high frequency (10 to 100s of MHz) [1, 2] and discontinuous-conduction-mode (DCM) switching have been proposed [3]. However, integration of DCM converters is challenging when the required blocking voltages across the switch and rectification stage is higher than the breakdown voltage of the standard devices. This is the case in both diode-connected MOS or CMOS controlled rectifiers for DCM boost converters [3]. Moreover, adaptive dead-time controllers are required for high-efficiency DCM operation. In this paper, we report two high-frequency DCM boost converter topologies with integrated Schottky Barrier Diodes (SBD) and a high voltage synchronous switch in a standard 0.13µm CMOS process. The SBDs are fabricated without additional processing steps to sustain ˜10V reverse voltage for high voltage operation, eliminating the need for dead-time controllers and power hungry high side drivers. The first DC-DC converter is a 100MHz digitally assisted 4-phase boost converter that delivers 240mW from a 1.2V supply with an output voltage range of 3 to 5V; and the second is a 45MHz hybrid boost converter delivering 20mW at 6-to-10V output from a 1.2V supply.
片上或封装集成升压DC-DC转换器在一个低电压过程中可以吸引更小和更轻的便携式设备由单电池供电(即,镍氢,燃料电池)。为了减小片外元件的尺寸,已经提出了高频(10到100兆赫兹)[1,2]和不连续导通模式(DCM)开关[3]。然而,当开关和整流级所需的阻塞电压高于标准器件的击穿电压时,DCM转换器的集成是具有挑战性的。这是在二极管连接MOS或CMOS控制整流器的DCM升压转换器[3]的情况下。此外,为了实现DCM的高效运行,还需要自适应死区时间控制器。在本文中,我们报告了两种高频DCM升压转换器拓扑结构,其中集成了肖特基势垒二极管(SBD)和一个标准0.13 μ m CMOS工艺中的高压同步开关。sdd的制造无需额外的处理步骤,以维持高压操作的~ 10V反向电压,从而消除了对死区时间控制器和耗电高侧驱动器的需求。第一个DC-DC转换器是一个100MHz数字辅助4相升压转换器,从1.2V电源输出240mW,输出电压范围为3至5V;第二个是45MHz混合升压转换器,从1.2V电源输出6到10v输出20mW。
{"title":"Digitally assisted discontinuous conduction mode 5V/100MHz and 10V/45MHz DC-DC boost converters with integrated Schottky diodes in standard 0.13µm CMOS","authors":"Pengfei Li, Lin Xue, Deepak Bhatia, R. Bashirullah","doi":"10.1109/ISSCC.2010.5433983","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433983","url":null,"abstract":"On-chip or on-package integration of step-up DC-DC converters in a low-voltage process can be attractive for smaller and lighter portable devices powered from single-cell batteries (i.e., NiMH, Fuel cells). To reduce the size of the off-chip components both high frequency (10 to 100s of MHz) [1, 2] and discontinuous-conduction-mode (DCM) switching have been proposed [3]. However, integration of DCM converters is challenging when the required blocking voltages across the switch and rectification stage is higher than the breakdown voltage of the standard devices. This is the case in both diode-connected MOS or CMOS controlled rectifiers for DCM boost converters [3]. Moreover, adaptive dead-time controllers are required for high-efficiency DCM operation. In this paper, we report two high-frequency DCM boost converter topologies with integrated Schottky Barrier Diodes (SBD) and a high voltage synchronous switch in a standard 0.13µm CMOS process. The SBDs are fabricated without additional processing steps to sustain ˜10V reverse voltage for high voltage operation, eliminating the need for dead-time controllers and power hungry high side drivers. The first DC-DC converter is a 100MHz digitally assisted 4-phase boost converter that delivers 240mW from a 1.2V supply with an output voltage range of 3 to 5V; and the second is a 45MHz hybrid boost converter delivering 20mW at 6-to-10V output from a 1.2V supply.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72726121","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
Westmere: A family of 32nm IA processors Westmere: 32纳米IA处理器系列
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5434033
N. Kurd, Subramani Bhamidipati, Christopher Mozak, Jeffrey L. Miller, T.M. Wilson, Mahadev Nemani, Muntaquim Chowdhury
The Westmere processor is implemented on a high-к metal-gate 32nm process technology [1] as a compaction of the Nehalem processor family [2]. Figure 5.1.1 shows the 6-core dual-socket server processor and the 2-core single-socket processor for mainstream client. This paper focuses on innovations and circuit optimizations made to the 6-core processor. The 6-core design has 1.17B transistors including the 12MB shared L3 Cache and fits in approximately the same die area as its 45nm 4-core 8MB-L3-cache Nehalem counterpart. The core supports new instructions for accelerating encryption/decryption algorithms, speeds up performance under virtualized environments, and contains a host of other targeted performance features.
Westmere处理器采用高通量金属栅极32纳米工艺技术[1],是Nehalem处理器家族的压缩版[2]。图5.1.1展示了6核双插槽服务器处理器和2核单插槽主流客户端处理器。本文的重点是对6核处理器的创新和电路优化。6核设计具有1.17B晶体管,包括12MB共享L3缓存,并且与45nm 4核8mb L3缓存Nehalem对应的芯片面积大致相同。该核心支持加速加密/解密算法的新指令,提高虚拟化环境下的性能,并包含许多其他目标性能特性。
{"title":"Westmere: A family of 32nm IA processors","authors":"N. Kurd, Subramani Bhamidipati, Christopher Mozak, Jeffrey L. Miller, T.M. Wilson, Mahadev Nemani, Muntaquim Chowdhury","doi":"10.1109/ISSCC.2010.5434033","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5434033","url":null,"abstract":"The Westmere processor is implemented on a high-к metal-gate 32nm process technology [1] as a compaction of the Nehalem processor family [2]. Figure 5.1.1 shows the 6-core dual-socket server processor and the 2-core single-socket processor for mainstream client. This paper focuses on innovations and circuit optimizations made to the 6-core processor. The 6-core design has 1.17B transistors including the 12MB shared L3 Cache and fits in approximately the same die area as its 45nm 4-core 8MB-L3-cache Nehalem counterpart. The core supports new instructions for accelerating encryption/decryption algorithms, speeds up performance under virtualized environments, and contains a host of other targeted performance features.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79555280","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 93
A 12Gb/s 39dB loss-recovery unclocked-DFE receiver with bi-dimensional equalization 具有二维均衡的12Gb/s 39dB无损恢复无锁dfe接收机
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5434006
M. Pozzoni, S. Erba, D. Sanzogni, M. Ganzerli, P. Viola, D. Baldi, M. Repossi, Giorgio Spelgatti, F. Svelto
Backplane communications are rapidly moving beyond 10 Gb/s both in networking and in hard-disk drive interconnection. Decision Feedback Equalization (DFE) and Duobinary (DB) prove to be effective techniques assuring signal integrity in the presence of ISI, but with speed increase the accuracy of the timing recovery brings additional challenges. Half-rate clock DFEs by loop-unrolling are widely applied to avoid feeding back the decided bit within a 1-bit (UI) time, but the alternated eye opening that is created requires an increased circuit complexity to obtain the maximum accuracy in timing recovery [1][2][3]. DB alternative may suffer in the presence of long sequences of incoming toggle patterns (1010…). In fact, in DB the channel frequency response is pre-shaped into a target shape, but toggle patterns are converted into a constant level, thus not providing information to the timing loop [2][4].
在网络和硬盘驱动器互连方面,背板通信正在迅速超越10gb /s。决策反馈均衡(DFE)和二元均衡(DB)被证明是保证ISI存在下信号完整性的有效技术,但随着速度的提高,定时恢复的准确性带来了额外的挑战。通过环展开的半速率时钟dfe被广泛应用,以避免在1位(UI)时间内反馈决定位,但由此产生的交替睁眼需要增加电路复杂性,以获得最大的定时恢复精度[1][2][3]。在输入切换模式(1010…)的长序列存在时,DB备选方案可能会受到影响。实际上,在DB中,信道频率响应被预先塑造成目标形状,但切换模式被转换成恒定电平,因此不向定时环路提供信息[2][4]。
{"title":"A 12Gb/s 39dB loss-recovery unclocked-DFE receiver with bi-dimensional equalization","authors":"M. Pozzoni, S. Erba, D. Sanzogni, M. Ganzerli, P. Viola, D. Baldi, M. Repossi, Giorgio Spelgatti, F. Svelto","doi":"10.1109/ISSCC.2010.5434006","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5434006","url":null,"abstract":"Backplane communications are rapidly moving beyond 10 Gb/s both in networking and in hard-disk drive interconnection. Decision Feedback Equalization (DFE) and Duobinary (DB) prove to be effective techniques assuring signal integrity in the presence of ISI, but with speed increase the accuracy of the timing recovery brings additional challenges. Half-rate clock DFEs by loop-unrolling are widely applied to avoid feeding back the decided bit within a 1-bit (UI) time, but the alternated eye opening that is created requires an increased circuit complexity to obtain the maximum accuracy in timing recovery [1][2][3]. DB alternative may suffer in the presence of long sequences of incoming toggle patterns (1010…). In fact, in DB the channel frequency response is pre-shaped into a target shape, but toggle patterns are converted into a constant level, thus not providing information to the timing loop [2][4].","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80795429","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
A fully integrated 2×1 dual-band direct-conversion transceiver with dual-mode fractional divider and noise-shaping TIA for mobile WiMAX SoC in 65nm CMOS 完全集成2×1双频直接转换收发器,具有双模分数分压器和噪声整形TIA,适用于65nm CMOS的移动WiMAX SoC
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433966
J. Deguchi, D. Miyashita, Y. Ogasawara, Gaku Takemura, Masaomi Iwanaga, K. Sami, R. Ito, J. Wadatsumi, Y. Tsuda, S. Oda, S. Kawaguchi, N. Itoh, M. Hamada
Mobile WiMAX complying with the IEEE 802.16e standard is one of the emerging standards and is achieving world-wide penetration. Low-cost implementation is essential and single-chip implementation is a straightforward approach. However, there are many technical challenges such as floor-planning, signal integrity and scalability of analog/RF circuits in an SoC, as well as power reduction in scaled CMOS technologies. In this work, we have designed and fabricated a fully-integrated 2RX × 1TX dual-band direct-conversion transceiver having digital interfaces for a mWiMAX SoC in a 65nm pure CMOS technology. To cope with the constraints of floor-planning while maintaining the signal integrity, inductorless local oscillator (LO) distribution using compact dual-mode fractional dividers is introduced, leading to the reduction of die area. Total noise figure of 3.8dB is achieved by a novel noise-shaping transimpedance amplifier to mitigate the flicker noise of a scaled CMOS device.
符合IEEE 802.16e标准的移动WiMAX是新兴标准之一,正在实现世界范围的普及。低成本实现是必不可少的,单芯片实现是一种简单的方法。然而,存在许多技术挑战,例如SoC中模拟/RF电路的布局规划,信号完整性和可扩展性,以及缩放CMOS技术的功耗降低。在这项工作中,我们设计并制造了一个完全集成的2RX × 1TX双频直接转换收发器,该收发器具有65nm纯CMOS技术的mWiMAX SoC数字接口。为了在保持信号完整性的同时解决地板规划的限制,采用紧凑型双模分数分压器的无电感本振(LO)分布,从而减小了芯片面积。采用一种新型的噪声整形跨阻放大器,降低了CMOS器件的闪烁噪声,总噪声系数达到3.8dB。
{"title":"A fully integrated 2×1 dual-band direct-conversion transceiver with dual-mode fractional divider and noise-shaping TIA for mobile WiMAX SoC in 65nm CMOS","authors":"J. Deguchi, D. Miyashita, Y. Ogasawara, Gaku Takemura, Masaomi Iwanaga, K. Sami, R. Ito, J. Wadatsumi, Y. Tsuda, S. Oda, S. Kawaguchi, N. Itoh, M. Hamada","doi":"10.1109/ISSCC.2010.5433966","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433966","url":null,"abstract":"Mobile WiMAX complying with the IEEE 802.16e standard is one of the emerging standards and is achieving world-wide penetration. Low-cost implementation is essential and single-chip implementation is a straightforward approach. However, there are many technical challenges such as floor-planning, signal integrity and scalability of analog/RF circuits in an SoC, as well as power reduction in scaled CMOS technologies. In this work, we have designed and fabricated a fully-integrated 2RX × 1TX dual-band direct-conversion transceiver having digital interfaces for a mWiMAX SoC in a 65nm pure CMOS technology. To cope with the constraints of floor-planning while maintaining the signal integrity, inductorless local oscillator (LO) distribution using compact dual-mode fractional dividers is introduced, leading to the reduction of die area. Total noise figure of 3.8dB is achieved by a novel noise-shaping transimpedance amplifier to mitigate the flicker noise of a scaled CMOS device.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81063905","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
A 16Gb/s 1st-Tap FFE and 3-Tap DFE in 90nm CMOS 90nm CMOS 16Gb/s 1 - tap FFE和3-Tap DFE
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5434005
H. Sugita, K. Sunaga, Koichi Yamaguchi, M. Mizuno
Much effort has been made toward producing a high-speed multi-tap decision feedback equalizer (DFE), which would be a key component in removing inter-symbol interference (ISI) in high-speed chip-to-chip communication. A loop-unrolled approach is widely used in work toward the design of high-speed multi-tap DFEs. It eliminates the feedback operation in first post-cursor equalization [1–3], an operation that limits operational speed in conventional multi-tap DFEs. There are two problems, however, to its application to equalization of 16Gb/s signals. The first is that additional components in the feedback path, used for speculation on the basis of sampled data, increase 2nd-tap feedback delay, preventing high-speed operations. The second problem is that jitter increase in equalized waveforms prevents accurate clock timing recovery because the 1st tap ISI of the waveform is left un-equalized. In response to this situation, we have developed three techniques for achieving 16Gb/s communication: (1) an analog feedforward technique for high-speed 1st-tap ISI equalization, (2) an analog feedforward technique for jitter reduction in equalized edges, and (3) technique for employing bypass feedback and a voltage swing limiter in order to speed-up both 2ndtap and 3rd-tap equalization. We have applied these techniques to a 16Gb/s equalizer fabricated in a 90nm CMOS process, and their use helps achieve a 33% increase in operating speed over that with conventional multi-tap DFEs[3].
高速多抽头决策反馈均衡器(DFE)是高速片对片通信中消除符号间干扰(ISI)的关键部件,目前已经在这方面做出了很多努力。在高速多抽头dfe的设计工作中,广泛采用环展开方法。它消除了第一次后光标均衡中的反馈操作[1-3],这种操作限制了传统多点dfe的操作速度。然而,将其应用于16Gb/s信号的均衡有两个问题。首先是反馈路径中的附加组件,用于基于采样数据的推测,增加了二次抽头反馈延迟,阻碍了高速操作。第二个问题是,抖动增加均衡波形阻止准确的时钟时序恢复,因为波形的第一个抽头ISI是不均衡的。针对这种情况,我们开发了三种实现16Gb/s通信的技术:(1)用于高速第一抽头ISI均衡的模拟前馈技术,(2)用于均衡边缘抖动减少的模拟前馈技术,以及(3)用于使用旁路反馈和电压摆幅限制器以加速第二抽头和第三抽头均衡的技术。我们已经将这些技术应用于用90nm CMOS工艺制造的16Gb/s均衡器,它们的使用有助于实现比传统多抽头dfe提高33%的操作速度[3]。
{"title":"A 16Gb/s 1st-Tap FFE and 3-Tap DFE in 90nm CMOS","authors":"H. Sugita, K. Sunaga, Koichi Yamaguchi, M. Mizuno","doi":"10.1109/ISSCC.2010.5434005","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5434005","url":null,"abstract":"Much effort has been made toward producing a high-speed multi-tap decision feedback equalizer (DFE), which would be a key component in removing inter-symbol interference (ISI) in high-speed chip-to-chip communication. A loop-unrolled approach is widely used in work toward the design of high-speed multi-tap DFEs. It eliminates the feedback operation in first post-cursor equalization [1–3], an operation that limits operational speed in conventional multi-tap DFEs. There are two problems, however, to its application to equalization of 16Gb/s signals. The first is that additional components in the feedback path, used for speculation on the basis of sampled data, increase 2nd-tap feedback delay, preventing high-speed operations. The second problem is that jitter increase in equalized waveforms prevents accurate clock timing recovery because the 1st tap ISI of the waveform is left un-equalized. In response to this situation, we have developed three techniques for achieving 16Gb/s communication: (1) an analog feedforward technique for high-speed 1st-tap ISI equalization, (2) an analog feedforward technique for jitter reduction in equalized edges, and (3) technique for employing bypass feedback and a voltage swing limiter in order to speed-up both 2ndtap and 3rd-tap equalization. We have applied these techniques to a 16Gb/s equalizer fabricated in a 90nm CMOS process, and their use helps achieve a 33% increase in operating speed over that with conventional multi-tap DFEs[3].","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75555464","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
A 65nm CMOS low-power small-size multistandard, multiband mobile broadcasting receiver SoC 一种65nm CMOS低功耗小尺寸多标准多频段移动广播接收器SoC
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433850
M. Jeong, Bonkee Kim, Youngho Cho, Yanggyun Kim, Seyeob Kim, Heeyong Yoo, Jung-Hwan Lee, Jae Kyung Lee, Kyungsoo Jung, Jeiyoung Lee, Junghun Lee, Huikwan Yang, Gerry Taylor, Boeun Kim
For a few years, new mobile broadcasting services were launched in Korea, Japan, Europe and China. The mobile broadcasting markets are maturing rapidly for applications such as mobile cellular phones, car navigation, PMP and so on. The market drives low-cost, low-power and small size significantly for mobile applications and drives multiple functionality and multiple bands [1]. To meet the market requirements, more integration of system blocks and functionality is needed. To achieve this goal, the 65nm CMOS and multistandard and multiband receiver SoC may be suitable solution.
几年来,在韩国、日本、欧洲和中国推出了新的移动广播服务。移动广播市场在移动手机、汽车导航、PMP等应用方面正在迅速成熟。市场显著推动了移动应用的低成本、低功耗和小尺寸,推动了多功能和多频段的发展[1]。为了满足市场需求,需要更多的系统模块和功能集成。为了实现这一目标,65nm CMOS和多标准多频段接收器SoC可能是合适的解决方案。
{"title":"A 65nm CMOS low-power small-size multistandard, multiband mobile broadcasting receiver SoC","authors":"M. Jeong, Bonkee Kim, Youngho Cho, Yanggyun Kim, Seyeob Kim, Heeyong Yoo, Jung-Hwan Lee, Jae Kyung Lee, Kyungsoo Jung, Jeiyoung Lee, Junghun Lee, Huikwan Yang, Gerry Taylor, Boeun Kim","doi":"10.1109/ISSCC.2010.5433850","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433850","url":null,"abstract":"For a few years, new mobile broadcasting services were launched in Korea, Japan, Europe and China. The mobile broadcasting markets are maturing rapidly for applications such as mobile cellular phones, car navigation, PMP and so on. The market drives low-cost, low-power and small size significantly for mobile applications and drives multiple functionality and multiple bands [1]. To meet the market requirements, more integration of system blocks and functionality is needed. To achieve this goal, the 65nm CMOS and multistandard and multiband receiver SoC may be suitable solution.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75591396","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 27
期刊
2010 IEEE International Solid-State Circuits Conference - (ISSCC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1