首页 > 最新文献

2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)最新文献

英文 中文
An ultra-low power CMOS subthreshold voltage reference without requiring resistors or BJTs 超低功耗CMOS亚阈值电压基准,不需要电阻或bjt
Pub Date : 2016-10-01 DOI: 10.1109/APCCAS.2016.7804066
Yang Liu, Chenchang Zhan, Lidan Wang
This paper presents a novel ultra-low power voltage reference operational from supply voltage down to less than 0.9V. In the proposed reference circuit, the PTAT voltage is generated by feeding the leakage current of a zero-Vgs NMOS transistor to two diode-connected NMOS transistors, both of which are in subthreshold region; while the CTAT voltage is created by using the body-diodes of another NMOS transistor. Consequently, low-voltage, low-power operation can be achieved without requiring resistors or BJTs, hence with small chip area consumption. The proposed circuit is designed in a 0.18-μm process. Simulation results show that it is capable of providing an 808mV reference voltage with 10ppm/°C from −30°C–125°C even with only 900mV supply voltage. Moreover, the typical power consumption is only 10nW.
本文提出了一种新颖的超低功率基准电压,可从电源电压降至0.9V以下。在本文提出的参考电路中,通过将零vgs NMOS晶体管的泄漏电流馈送到两个处于亚阈值区域的二极管连接的NMOS晶体管,从而产生PTAT电压;而CTAT电压是通过使用另一个NMOS晶体管的体二极管产生的。因此,无需电阻或bjt即可实现低电压、低功耗操作,因此芯片面积消耗小。该电路采用0.18 μm工艺设计。仿真结果表明,在- 30°C - 125°C范围内,即使电源电压只有900mV,也能提供10ppm/°C的808mV参考电压。此外,典型的功耗仅为10nW。
{"title":"An ultra-low power CMOS subthreshold voltage reference without requiring resistors or BJTs","authors":"Yang Liu, Chenchang Zhan, Lidan Wang","doi":"10.1109/APCCAS.2016.7804066","DOIUrl":"https://doi.org/10.1109/APCCAS.2016.7804066","url":null,"abstract":"This paper presents a novel ultra-low power voltage reference operational from supply voltage down to less than 0.9V. In the proposed reference circuit, the PTAT voltage is generated by feeding the leakage current of a zero-Vgs NMOS transistor to two diode-connected NMOS transistors, both of which are in subthreshold region; while the CTAT voltage is created by using the body-diodes of another NMOS transistor. Consequently, low-voltage, low-power operation can be achieved without requiring resistors or BJTs, hence with small chip area consumption. The proposed circuit is designed in a 0.18-μm process. Simulation results show that it is capable of providing an 808mV reference voltage with 10ppm/°C from −30°C–125°C even with only 900mV supply voltage. Moreover, the typical power consumption is only 10nW.","PeriodicalId":6495,"journal":{"name":"2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77410192","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Contrast enhancement using multiple mapping functions for power reduction in OLED display 利用多映射功能增强OLED显示屏的对比度,降低功耗
Pub Date : 2016-10-01 DOI: 10.1109/APCCAS.2016.7804077
C. Jang, Sanghun Kim, Young Hwan Kim
This paper proposes the power constrained contrast enhancement algorithm for OLED display based on the multi-mapping functions for improving the local contrast of the input image. The proposed method divides the input image into several blocks. Then, it calculates the multi-mapping functions corresponding to each block using convex optimization process between the image quality and power consumption. Using the multi-mapping function, the proposed method adjusts the mapping function and calculates the output image using the bilinear interpolation. In the experimental results, the proposed method improved the local contrast, and increased the enhancement performance measure and sharpness by up to 57.8% and 41.9% compared to benchmark methods.
为了提高输入图像的局部对比度,提出了一种基于多映射函数的功率约束OLED显示器对比度增强算法。该方法将输入图像分成若干块。然后,利用图像质量和功耗之间的凸优化过程,计算出每个块对应的多映射函数;该方法利用多重映射函数对映射函数进行调整,并利用双线性插值计算输出图像。实验结果表明,该方法提高了局部对比度,增强性能指标和锐度分别比基准方法提高了57.8%和41.9%。
{"title":"Contrast enhancement using multiple mapping functions for power reduction in OLED display","authors":"C. Jang, Sanghun Kim, Young Hwan Kim","doi":"10.1109/APCCAS.2016.7804077","DOIUrl":"https://doi.org/10.1109/APCCAS.2016.7804077","url":null,"abstract":"This paper proposes the power constrained contrast enhancement algorithm for OLED display based on the multi-mapping functions for improving the local contrast of the input image. The proposed method divides the input image into several blocks. Then, it calculates the multi-mapping functions corresponding to each block using convex optimization process between the image quality and power consumption. Using the multi-mapping function, the proposed method adjusts the mapping function and calculates the output image using the bilinear interpolation. In the experimental results, the proposed method improved the local contrast, and increased the enhancement performance measure and sharpness by up to 57.8% and 41.9% compared to benchmark methods.","PeriodicalId":6495,"journal":{"name":"2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77863828","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Phase-controlled system design via mixed H∞ synthesis and nonlinear method 采用混合H∞综合和非线性方法设计相控系统
Pub Date : 2016-10-01 DOI: 10.1109/APCCAS.2016.7803981
N. S. Ahmad, S. J. A. Bakar
Due to nonlinear behavior of several phase-detectors, linear approximation method often leads to performance degradation in many phase-controlled systems, particularly when the phase errors are sufficiently large. In this work, with the nonlinearity considered in the system's model, a suitable criterion which takes into account both the nonlinearity's sector and slope bounds is employed to establish its global stability condition. The result is then incorporated into the existing H∞ synthesis in the controller/loop filter design. The searches are expressed in terms of convex linear matrix inequalities which are computationally tractable. To illustrate the improvement introduced via this approach, several numerical examples are included with comparisons over conventional linear approximation methods.
由于相位检波器的非线性特性,线性逼近法在许多相位控制系统中往往会导致性能下降,特别是当相位误差足够大时。在考虑系统模型非线性的情况下,采用一个既考虑非线性扇形界又考虑非线性斜率界的判据来建立系统的全局稳定条件。然后将结果合并到控制器/环路滤波器设计中的现有H∞合成中。搜索用凸线性矩阵不等式表示,计算上易于处理。为了说明通过这种方法所带来的改进,包括几个数值例子,并与传统的线性近似方法进行了比较。
{"title":"Phase-controlled system design via mixed H∞ synthesis and nonlinear method","authors":"N. S. Ahmad, S. J. A. Bakar","doi":"10.1109/APCCAS.2016.7803981","DOIUrl":"https://doi.org/10.1109/APCCAS.2016.7803981","url":null,"abstract":"Due to nonlinear behavior of several phase-detectors, linear approximation method often leads to performance degradation in many phase-controlled systems, particularly when the phase errors are sufficiently large. In this work, with the nonlinearity considered in the system's model, a suitable criterion which takes into account both the nonlinearity's sector and slope bounds is employed to establish its global stability condition. The result is then incorporated into the existing H∞ synthesis in the controller/loop filter design. The searches are expressed in terms of convex linear matrix inequalities which are computationally tractable. To illustrate the improvement introduced via this approach, several numerical examples are included with comparisons over conventional linear approximation methods.","PeriodicalId":6495,"journal":{"name":"2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76871652","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Wide current range and high compliance-voltage bulk-driven current mirrors: Simple and cascode 宽电流范围和高顺应电压体驱动电流镜:简单和级联代码
Pub Date : 2016-10-01 DOI: 10.1109/APCCAS.2016.7803943
K. Sooksood
This paper presents novel bulk-driven current mirror and bulk-driven cascode current mirror. Bulk-driven technique is employed to overcome a threshold voltage limitation. High accuracy transfer characteristic over wide current range is achieved through a negative feedback. The proposed circuits are designed and simulated with a 0.18 μm CMOS technology. They operate at 1 V power supply. The simulation results show the headroom voltage of 0.11 V and 0.16 V for the proposed bulk driven current mirror and bulk driven cascode current mirror, respectively.
提出了一种新型的块驱动电流镜和块驱动级联电流镜。采用体积驱动技术克服阈值电压限制。通过负反馈实现了宽电流范围内的高精度传输特性。采用0.18 μm CMOS工艺对电路进行了设计和仿真。它们在1v电源下工作。仿真结果表明,所设计的整体驱动电流镜和整体驱动级联电流镜的净空电压分别为0.11 V和0.16 V。
{"title":"Wide current range and high compliance-voltage bulk-driven current mirrors: Simple and cascode","authors":"K. Sooksood","doi":"10.1109/APCCAS.2016.7803943","DOIUrl":"https://doi.org/10.1109/APCCAS.2016.7803943","url":null,"abstract":"This paper presents novel bulk-driven current mirror and bulk-driven cascode current mirror. Bulk-driven technique is employed to overcome a threshold voltage limitation. High accuracy transfer characteristic over wide current range is achieved through a negative feedback. The proposed circuits are designed and simulated with a 0.18 μm CMOS technology. They operate at 1 V power supply. The simulation results show the headroom voltage of 0.11 V and 0.16 V for the proposed bulk driven current mirror and bulk driven cascode current mirror, respectively.","PeriodicalId":6495,"journal":{"name":"2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73767727","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
LEGO-based VLSI design and implementation of polar codes encoder architecture with radix-2 processing engines 基于乐高的VLSI极码编码器结构设计与实现,采用基数2处理引擎
Pub Date : 2016-10-01 DOI: 10.1109/APCCAS.2016.7804057
Xin-Yu Shih, Po-Chun Huang, Yu-Chun Chen
Polar Codes become a new channel coding, which will be common to apply for next-generation wireless MIMO communication systems. In this work, we propose LEGO-based VLSI hardware design and implementation of the Polar encoder using radix-2 processing engines, which features low area cost, low power dissipation, high speed, and high throughput via serial computation. Under TSMC 90nm CMOS technology, the 16384-point LEGO-based radix-2 Polar encoder chip (LB-R2-PE) is designed and synthesized with total area of 0.244mm2 and power dissipation of 366.6mW, operating at maximum frequency of 2.0GHz. In the APR chip implementation point-of-view, the 16384-point LB-R2-PE chip only occupies 0.305mm2 and consumes 357.8mW with maximum operating frequency of 1.61GHz, delivering total throughput of 1.61Gbps.
Polar码是一种新的信道编码,将普遍应用于下一代无线MIMO通信系统。在这项工作中,我们提出了基于乐高的VLSI硬件设计和实现Polar编码器,使用基数-2处理引擎,具有低面积成本,低功耗,高速和高吞吐量的特点。采用台积电90nm CMOS技术,设计合成了基于16384点lego的radix-2 Polar编码器芯片(LB-R2-PE),该芯片的总面积为0.244mm2,功耗为366.6mW,最高工作频率为2.0GHz。从APR芯片实现角度来看,16384点LB-R2-PE芯片仅占地0.305mm2,功耗357.8mW,最大工作频率为1.61GHz,总吞吐量为1.61Gbps。
{"title":"LEGO-based VLSI design and implementation of polar codes encoder architecture with radix-2 processing engines","authors":"Xin-Yu Shih, Po-Chun Huang, Yu-Chun Chen","doi":"10.1109/APCCAS.2016.7804057","DOIUrl":"https://doi.org/10.1109/APCCAS.2016.7804057","url":null,"abstract":"Polar Codes become a new channel coding, which will be common to apply for next-generation wireless MIMO communication systems. In this work, we propose LEGO-based VLSI hardware design and implementation of the Polar encoder using radix-2 processing engines, which features low area cost, low power dissipation, high speed, and high throughput via serial computation. Under TSMC 90nm CMOS technology, the 16384-point LEGO-based radix-2 Polar encoder chip (LB-R2-PE) is designed and synthesized with total area of 0.244mm2 and power dissipation of 366.6mW, operating at maximum frequency of 2.0GHz. In the APR chip implementation point-of-view, the 16384-point LB-R2-PE chip only occupies 0.305mm2 and consumes 357.8mW with maximum operating frequency of 1.61GHz, delivering total throughput of 1.61Gbps.","PeriodicalId":6495,"journal":{"name":"2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75565366","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
A design of a cost-effective look-up table for RGB-to-RGBW conversion rgb到rgbw转换的经济高效查找表的设计
Pub Date : 2016-10-01 DOI: 10.1109/APCCAS.2016.7803929
Sunwoong Kim, Hyuk-Jae Lee
RGBW domain is widely used to improve the brightness of display panels without their increasing power consumption. RGBW display systems use a look-up table (LUT) for fast RGB-to-RGBW conversion. However, the LUT is implemented by a large size memory and thereby incurring high hardware cost. To reduce the size of the LUT, data mapping in the LUT are sub-sampled, which results in large errors in data conversion. Based on the piecewise-linear characteristic in color change, the sub-sampled data are linearly interpolated. In addition, the interpolation performance is improved by utilizing distribution patterns of color components. Experimental results show that the average of the mean square errors by the proposed method is 21.72 when the size of the LUT decreases to 1/215 of the original LUT size.
RGBW域被广泛用于提高显示面板的亮度而不增加其功耗。RGBW显示系统使用查找表(LUT)进行rgb到RGBW的快速转换。然而,LUT是由大容量内存实现的,因此产生了很高的硬件成本。为了减小LUT的大小,对LUT中的数据映射进行了子采样,导致数据转换误差较大。基于颜色变化的分段线性特性,对子采样数据进行线性插值。此外,利用颜色分量的分布模式提高了插值性能。实验结果表明,当LUT大小减小到原始LUT大小的1/215时,该方法的均方误差平均值为21.72。
{"title":"A design of a cost-effective look-up table for RGB-to-RGBW conversion","authors":"Sunwoong Kim, Hyuk-Jae Lee","doi":"10.1109/APCCAS.2016.7803929","DOIUrl":"https://doi.org/10.1109/APCCAS.2016.7803929","url":null,"abstract":"RGBW domain is widely used to improve the brightness of display panels without their increasing power consumption. RGBW display systems use a look-up table (LUT) for fast RGB-to-RGBW conversion. However, the LUT is implemented by a large size memory and thereby incurring high hardware cost. To reduce the size of the LUT, data mapping in the LUT are sub-sampled, which results in large errors in data conversion. Based on the piecewise-linear characteristic in color change, the sub-sampled data are linearly interpolated. In addition, the interpolation performance is improved by utilizing distribution patterns of color components. Experimental results show that the average of the mean square errors by the proposed method is 21.72 when the size of the LUT decreases to 1/215 of the original LUT size.","PeriodicalId":6495,"journal":{"name":"2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88599679","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A comparative analysis on binary and multiple-unary weighted power stage design for digital LDO 数字LDO中二元和多重一元加权功率级设计的比较分析
Pub Date : 2016-10-01 DOI: 10.1109/APCCAS.2016.7803890
Fan Yang, Yasu Lu, P. Mok
An analytical study of designing power stage for the emerging digital LDO is presented in this paper. Two widely adopted sizing options are discussed, namely binary and multiple-unary weighted power stage sizing. Both methods target at realizing a balanced speed and resolution of the digital LDO. The binary sizing benefits the large current step, however, in average, may render a more oscillating voltage settling. The multiple unary sizing overcomes this by a step-by-step voltage regulation, and the speed is improved if a larger step size is selected. The difference of the required clock frequency, and suggestions in designing power stage are discussed in this paper as well. This comparative analysis is further verified by simulations in a 65-nm CMOS process.
本文对新兴数字LDO的功率级设计进行了分析研究。讨论了两种广泛采用的分级方案,即二元和多重一元加权功率级分级。两种方法的目标都是实现数字LDO的速度和分辨率的平衡。二进制尺寸有利于大电流步进,然而,平均而言,可能会导致更振荡的电压沉降。多重一元尺寸通过逐步电压调节克服了这一点,如果选择更大的步长,速度会得到提高。本文还讨论了所需时钟频率的差异,以及功率级设计的建议。通过65纳米CMOS工艺的仿真进一步验证了这一对比分析。
{"title":"A comparative analysis on binary and multiple-unary weighted power stage design for digital LDO","authors":"Fan Yang, Yasu Lu, P. Mok","doi":"10.1109/APCCAS.2016.7803890","DOIUrl":"https://doi.org/10.1109/APCCAS.2016.7803890","url":null,"abstract":"An analytical study of designing power stage for the emerging digital LDO is presented in this paper. Two widely adopted sizing options are discussed, namely binary and multiple-unary weighted power stage sizing. Both methods target at realizing a balanced speed and resolution of the digital LDO. The binary sizing benefits the large current step, however, in average, may render a more oscillating voltage settling. The multiple unary sizing overcomes this by a step-by-step voltage regulation, and the speed is improved if a larger step size is selected. The difference of the required clock frequency, and suggestions in designing power stage are discussed in this paper as well. This comparative analysis is further verified by simulations in a 65-nm CMOS process.","PeriodicalId":6495,"journal":{"name":"2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83920105","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Simultaneous layer-aware and region-aware partitioning for 3D IC 三维集成电路的层感知和区域感知分区
Pub Date : 2016-10-01 DOI: 10.1109/APCCAS.2016.7804014
Yung-Hao Lai, Yang-Lang Chang, Jyh-Perng Fang, Jie-Hung Lee
Through-silicon vias (TSVs) allow the stacking of dies into multilayer structures, and solve connection problems between neighboring tiers for three-dimensional (3D) integrated circuit (IC) technology. Several studies have investigated how to minimize the number of TSVs in 3D ICs, but not much focus on the total wire-length of circuit. However, with the scaling trend of CMOS technology, the power consumption due to routing resources is much higher than the other resources. In this paper, we propose a novel method of simultaneous layer-aware and region-aware partitioning (SLARAP), basing on hMetis, to reduce the number of TSVs and shorten the total wire-length of circuits simultaneously. The gigascale systems research center (GSRC) benchmarks are used as test circuits in our experiments. The experimental results show that our approach works efficiently and it can effectively reduce the total wire-length compared to iLap while considering the TSV number minimization.
通过硅通孔(tsv)允许将模具堆叠成多层结构,并解决三维集成电路(IC)技术相邻层之间的连接问题。一些研究已经探讨了如何在3D集成电路中最小化tsv的数量,但很少关注电路的总线长。然而,随着CMOS技术的规模化趋势,路由资源的功耗远远高于其他资源。在本文中,我们提出了一种基于hMetis的层感知和区域感知同时划分(SLARAP)的新方法,以同时减少tsv的数量和缩短电路的总线长。在我们的实验中,使用了千兆级系统研究中心(GSRC)的基准测试电路。实验结果表明,与iLap相比,该方法可以有效地减少总线长,同时考虑到TSV数的最小化。
{"title":"Simultaneous layer-aware and region-aware partitioning for 3D IC","authors":"Yung-Hao Lai, Yang-Lang Chang, Jyh-Perng Fang, Jie-Hung Lee","doi":"10.1109/APCCAS.2016.7804014","DOIUrl":"https://doi.org/10.1109/APCCAS.2016.7804014","url":null,"abstract":"Through-silicon vias (TSVs) allow the stacking of dies into multilayer structures, and solve connection problems between neighboring tiers for three-dimensional (3D) integrated circuit (IC) technology. Several studies have investigated how to minimize the number of TSVs in 3D ICs, but not much focus on the total wire-length of circuit. However, with the scaling trend of CMOS technology, the power consumption due to routing resources is much higher than the other resources. In this paper, we propose a novel method of simultaneous layer-aware and region-aware partitioning (SLARAP), basing on hMetis, to reduce the number of TSVs and shorten the total wire-length of circuits simultaneously. The gigascale systems research center (GSRC) benchmarks are used as test circuits in our experiments. The experimental results show that our approach works efficiently and it can effectively reduce the total wire-length compared to iLap while considering the TSV number minimization.","PeriodicalId":6495,"journal":{"name":"2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78673789","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Design of low-dropout regulator using a-InGaZnO thin-film transistors 利用a-InGaZnO薄膜晶体管设计低压差稳压器
Pub Date : 2016-10-01 DOI: 10.1109/APCCAS.2016.7804025
Yongchan Kim, Hojin Lee
In this paper, we presented a low-dropout (LDO) regulator composed with amorphous indium-gallium-zinc-oxide thin-film transistors (a-InGaZnO TFTs) for display driving systems. Through extensive simulation works, we confirmed that the proposed LDO regulator successfully could control the output voltage levels to follow the reference input voltages, and the output voltage ripple could be suppressed below 48mV when input reference voltage was changed from 14V to 15V with 100mV fluctuation.
本文提出了一种由非晶铟镓锌氧化物薄膜晶体管(a- ingazno TFTs)组成的用于显示驱动系统的低差(LDO)稳压器。通过大量的仿真工作,我们证实了所提出的LDO稳压器可以成功地控制输出电压电平跟随参考输入电压,并且当输入参考电压从14V变为15V,波动100mV时,输出电压纹波可以被抑制在48mV以下。
{"title":"Design of low-dropout regulator using a-InGaZnO thin-film transistors","authors":"Yongchan Kim, Hojin Lee","doi":"10.1109/APCCAS.2016.7804025","DOIUrl":"https://doi.org/10.1109/APCCAS.2016.7804025","url":null,"abstract":"In this paper, we presented a low-dropout (LDO) regulator composed with amorphous indium-gallium-zinc-oxide thin-film transistors (a-InGaZnO TFTs) for display driving systems. Through extensive simulation works, we confirmed that the proposed LDO regulator successfully could control the output voltage levels to follow the reference input voltages, and the output voltage ripple could be suppressed below 48mV when input reference voltage was changed from 14V to 15V with 100mV fluctuation.","PeriodicalId":6495,"journal":{"name":"2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76887257","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Calculating the probability of timing violation of F/F-controlled paths with timing variations
Pub Date : 2016-10-01 DOI: 10.1109/APCCAS.2016.7804017
Hyun-jeong Kwon, Young Hwan Kim
We propose an analytic method to calculate the probability of timing violation of F/F-controlled paths by considering timing variations. We first characterize the timing characteristics of F/Fs and path delays using a generalized canonical delay model. The probability of setup-time violation is then calculated by considering the correlation between F/Fs and logic paths. For the calculation, we used conditional tightness probability equation which reflects the nonlinearity of the process parameters. In experiments, the proposed method exhibited the error less than 7 % with respect to Monte-Carlo (MC) simulation results. Compared to the benchmark method that does not consider the variations of the timing characteristics of F/Fs, the proposed method improved the accuracy by more than 18% on average.
本文提出了一种考虑时间变化的F/F控制路径时间违逆概率的解析计算方法。我们首先用广义正则延迟模型描述了F/F和路径延迟的时序特性。然后考虑F/F与逻辑路径之间的相关性,计算出设置时间违反的概率。在计算中,我们采用了反映工艺参数非线性的条件紧密性概率方程。在实验中,该方法与蒙特卡罗(MC)模拟结果的误差小于7%。与不考虑F/F定时特性变化的基准方法相比,该方法平均提高了18%以上的精度。
{"title":"Calculating the probability of timing violation of F/F-controlled paths with timing variations","authors":"Hyun-jeong Kwon, Young Hwan Kim","doi":"10.1109/APCCAS.2016.7804017","DOIUrl":"https://doi.org/10.1109/APCCAS.2016.7804017","url":null,"abstract":"We propose an analytic method to calculate the probability of timing violation of F/F-controlled paths by considering timing variations. We first characterize the timing characteristics of F/Fs and path delays using a generalized canonical delay model. The probability of setup-time violation is then calculated by considering the correlation between F/Fs and logic paths. For the calculation, we used conditional tightness probability equation which reflects the nonlinearity of the process parameters. In experiments, the proposed method exhibited the error less than 7 % with respect to Monte-Carlo (MC) simulation results. Compared to the benchmark method that does not consider the variations of the timing characteristics of F/Fs, the proposed method improved the accuracy by more than 18% on average.","PeriodicalId":6495,"journal":{"name":"2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76966718","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1