首页 > 最新文献

2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)最新文献

英文 中文
Accelerating residue-to-binary conversion of very high cardinality moduli set for fully homomorphic encryption 加速全同态加密的高基数模集残二转换
Pub Date : 2016-10-01 DOI: 10.1109/APCCAS.2016.7803882
Truong Phu Truan Ho, Chip-Hong Chang
Recent hardware implementations of fully homomorphic encryption (FHE) exploit very high cardinality arbitrary moduli sets to parallelize large integer arithmetic. However, the benefit they gained are heavily offset by the slow residue-to-binary conversion due to the large modulo operations and limited number theoretic properties of arbitrary moduli. This paper presents a fast residue-to-binary (R2B) conversion method by transforming a large number of residues of arbitrary moduli into only three residues before the conversion. It exploits the smaller and parallel operations of base extension for the mapping to {2n−1, 2n, 2n +1} and the highly efficient adder-based R2B converter of the latter to achieve 2.25 ∼ 6.8 times speedup over recent R2B converters, which translates to approximately 10.2% to 32.08% of overall speed improvement for their FHE implementations.
最近的完全同态加密(FHE)的硬件实现利用非常高的基数任意模集来并行化大整数运算。然而,由于大的模运算和任意模有限的数论性质,他们所获得的好处被缓慢的残数到二进制转换严重抵消。本文提出了一种快速残数到二值(R2B)转换方法,该方法在转换前将任意模的大量残数转换为仅三个残数。它利用了映射到{2n−1,2n, 2n +1}的更小和并行的基扩展操作,以及后者的高效基于加法器的R2B转换器,比最近的R2B转换器实现了2.25 ~ 6.8倍的速度提升,这意味着其FHE实现的总体速度提高了约10.2%至32.08%。
{"title":"Accelerating residue-to-binary conversion of very high cardinality moduli set for fully homomorphic encryption","authors":"Truong Phu Truan Ho, Chip-Hong Chang","doi":"10.1109/APCCAS.2016.7803882","DOIUrl":"https://doi.org/10.1109/APCCAS.2016.7803882","url":null,"abstract":"Recent hardware implementations of fully homomorphic encryption (FHE) exploit very high cardinality arbitrary moduli sets to parallelize large integer arithmetic. However, the benefit they gained are heavily offset by the slow residue-to-binary conversion due to the large modulo operations and limited number theoretic properties of arbitrary moduli. This paper presents a fast residue-to-binary (R2B) conversion method by transforming a large number of residues of arbitrary moduli into only three residues before the conversion. It exploits the smaller and parallel operations of base extension for the mapping to {2n−1, 2n, 2n +1} and the highly efficient adder-based R2B converter of the latter to achieve 2.25 ∼ 6.8 times speedup over recent R2B converters, which translates to approximately 10.2% to 32.08% of overall speed improvement for their FHE implementations.","PeriodicalId":6495,"journal":{"name":"2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74442925","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
An FPGA-based quality filter for de novo sequence assembly pipeline 一种基于fpga的序列装配流水线质量滤波器
Pub Date : 2016-10-01 DOI: 10.1109/APCCAS.2016.7803916
Chun-Shen Liu, Nae-Chyun Chen, Yu-Cheng Li, Yi-Chang Lu
Next-generation sequencing (NGS) has been widely applied to biological and medical researches for its efficient production of DNA short reads nowadays. Among various applications, de novo sequence assembly is a technique using NGS short reads to obtain a whole genome with no reference. To make assembly results accurate, it is a common practice to filter out low-quality data at the early stage of the assembly pipeline. Since filtering takes a great portion of assembly time, how to increase the processing speed of filtering stage becomes an important issue, especially with growing data scale in recent applications. In this paper, we propose an FPGA-based quality filter to accelerate the filtering step. With the introduction of the One-Hot-encoded accumulator and related modules, the hardware filter can reduce the processing time by half.
新一代测序技术以其高效的短链测序技术在生物学和医学研究中得到了广泛的应用。de novo sequence assembly在众多应用中,de novo sequence assembly是一种利用NGS短reads获得无参考全基因组的技术。为了使装配结果准确,通常的做法是在装配管道的早期阶段过滤掉低质量的数据。由于滤波占用了大量的装配时间,如何提高滤波阶段的处理速度成为一个重要的问题,特别是在最近的应用中,随着数据规模的增长。在本文中,我们提出了一种基于fpga的高质量滤波器来加快滤波步骤。通过引入One-Hot-encoded蓄电池和相关模块,硬件滤波器可以减少处理时间减半。
{"title":"An FPGA-based quality filter for de novo sequence assembly pipeline","authors":"Chun-Shen Liu, Nae-Chyun Chen, Yu-Cheng Li, Yi-Chang Lu","doi":"10.1109/APCCAS.2016.7803916","DOIUrl":"https://doi.org/10.1109/APCCAS.2016.7803916","url":null,"abstract":"Next-generation sequencing (NGS) has been widely applied to biological and medical researches for its efficient production of DNA short reads nowadays. Among various applications, de novo sequence assembly is a technique using NGS short reads to obtain a whole genome with no reference. To make assembly results accurate, it is a common practice to filter out low-quality data at the early stage of the assembly pipeline. Since filtering takes a great portion of assembly time, how to increase the processing speed of filtering stage becomes an important issue, especially with growing data scale in recent applications. In this paper, we propose an FPGA-based quality filter to accelerate the filtering step. With the introduction of the One-Hot-encoded accumulator and related modules, the hardware filter can reduce the processing time by half.","PeriodicalId":6495,"journal":{"name":"2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72596810","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A −34dBm sensitivity battery-less wake-up receiver with digital decoder −34dBm灵敏度无电池唤醒接收器,带数字解码器
Pub Date : 2016-10-01 DOI: 10.1109/APCCAS.2016.7804076
N. Vamsi, Sesha Sairam Ragulagadda, A. Dutta, S. Singh
A new approach for a battery-less 915MHz ISM band wake-up receiver with digital decoder for wireless sensor network is designed and presented. The proposed receiver architecture is based on a differential rectifier with gate-driver circuit using an ON-OFF Keying modulation for the input signal. To enhance the power conversion efficiency (PCE) of the rectifier for the low input power level, appropriate gate-drive voltages for each stage of the rectifier are generated using a chain of auxiliary floating rectifier cells. The wake-up receiver is designed in 0.18μm CMOS technology and achieves a sensitivity of −34 dBm at 0.8V for a 100 kbps.
设计并提出了一种用于无线传感器网络的带数字解码器的915MHz ISM频段无电池唤醒接收机。所提出的接收器架构是基于差分整流器与栅极驱动电路使用一个开关键控调制输入信号。为了提高整流器在低输入功率水平下的功率转换效率(PCE),利用一串辅助浮动整流器单元为整流器的每级产生适当的栅极驱动电压。唤醒接收器采用0.18μm CMOS技术设计,在0.8V电压下灵敏度为- 34 dBm,传输速率为100 kbps。
{"title":"A −34dBm sensitivity battery-less wake-up receiver with digital decoder","authors":"N. Vamsi, Sesha Sairam Ragulagadda, A. Dutta, S. Singh","doi":"10.1109/APCCAS.2016.7804076","DOIUrl":"https://doi.org/10.1109/APCCAS.2016.7804076","url":null,"abstract":"A new approach for a battery-less 915MHz ISM band wake-up receiver with digital decoder for wireless sensor network is designed and presented. The proposed receiver architecture is based on a differential rectifier with gate-driver circuit using an ON-OFF Keying modulation for the input signal. To enhance the power conversion efficiency (PCE) of the rectifier for the low input power level, appropriate gate-drive voltages for each stage of the rectifier are generated using a chain of auxiliary floating rectifier cells. The wake-up receiver is designed in 0.18μm CMOS technology and achieves a sensitivity of −34 dBm at 0.8V for a 100 kbps.","PeriodicalId":6495,"journal":{"name":"2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79078418","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Implementation of intelligent home appliances based on IoT 基于物联网的智能家电实施
Pub Date : 2016-10-01 DOI: 10.1109/APCCAS.2016.7803918
Tsung-Han Tsai, Kung-Long Zhang
The intelligence for home appliances becomes more and more necessary in recent years. It can be developed based on the techniques from intelligent surveillance systems, and integrated with video sensor. In this paper, we propose an implementation of intelligent home appliances. This system typically involves several tasks including foreground detection, noise reduction, object labeling, object recognition and wireless transmission. To realize it on IoT (internet of things) era, we use the transmission device with Bluetooth 4.0 protocol. As a result we will receive the signal on mobile device applications if some dangerous situations is happened. We implement the whole system on embedded system to make a demonstration result. This system will help us to take care of our children prevent from danger appliances and inform the danger situation immediately.
近年来,家用电器的智能化变得越来越有必要。它可以在智能监控系统技术的基础上发展,并与视频传感器相结合。本文提出了一种实现智能家电的方法。该系统通常涉及几个任务,包括前景检测、降噪、目标标记、目标识别和无线传输。为了在物联网(IoT)时代实现它,我们使用了蓝牙4.0协议的传输设备。因此,如果发生一些危险情况,我们将在移动设备应用程序上接收信号。我们在嵌入式系统上实现了整个系统,并给出了演示结果。这个系统将帮助我们照顾我们的孩子,防止危险的器具,并告知危险情况的第一时间。
{"title":"Implementation of intelligent home appliances based on IoT","authors":"Tsung-Han Tsai, Kung-Long Zhang","doi":"10.1109/APCCAS.2016.7803918","DOIUrl":"https://doi.org/10.1109/APCCAS.2016.7803918","url":null,"abstract":"The intelligence for home appliances becomes more and more necessary in recent years. It can be developed based on the techniques from intelligent surveillance systems, and integrated with video sensor. In this paper, we propose an implementation of intelligent home appliances. This system typically involves several tasks including foreground detection, noise reduction, object labeling, object recognition and wireless transmission. To realize it on IoT (internet of things) era, we use the transmission device with Bluetooth 4.0 protocol. As a result we will receive the signal on mobile device applications if some dangerous situations is happened. We implement the whole system on embedded system to make a demonstration result. This system will help us to take care of our children prevent from danger appliances and inform the danger situation immediately.","PeriodicalId":6495,"journal":{"name":"2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79674702","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
An ultra-low power and offset-insensitive CMOS subthreshold voltage reference 超低功耗和偏置不敏感的CMOS亚阈值电压基准
Pub Date : 2016-10-01 DOI: 10.1109/APCCAS.2016.7803944
Lidan Wang, Chenchang Zhan, Guofeng Li
An ultra-low power and offset-insensitive CMOS voltage reference circuit is presented. Due to the novel structure of employing subthreshold MOS transistors, the proposed circuit can suppress the DC offset effects of the internal amplifier. Design considerations in optimizing the power and area consumptions, and improving the power supply ripple rejection (PSRR) are presented. The voltage reference is implemented in a 0.18μm CMOS process. Simulation results show that the reference can run with 0.8 V supply voltage, while the power consumption is only 62nW, and the PSRR of better than −43 dB over the full frequency range is achieved.
提出了一种超低功耗、偏置不敏感的CMOS电压基准电路。由于采用亚阈值MOS晶体管的新颖结构,该电路可以抑制内部放大器的直流偏置效应。提出了优化功耗和面积消耗以及提高电源纹波抑制(PSRR)的设计考虑。基准电压采用0.18μm CMOS工艺。仿真结果表明,该基准电路可以在0.8 V电源电压下运行,功耗仅为62nW,在全频率范围内的PSRR优于−43 dB。
{"title":"An ultra-low power and offset-insensitive CMOS subthreshold voltage reference","authors":"Lidan Wang, Chenchang Zhan, Guofeng Li","doi":"10.1109/APCCAS.2016.7803944","DOIUrl":"https://doi.org/10.1109/APCCAS.2016.7803944","url":null,"abstract":"An ultra-low power and offset-insensitive CMOS voltage reference circuit is presented. Due to the novel structure of employing subthreshold MOS transistors, the proposed circuit can suppress the DC offset effects of the internal amplifier. Design considerations in optimizing the power and area consumptions, and improving the power supply ripple rejection (PSRR) are presented. The voltage reference is implemented in a 0.18μm CMOS process. Simulation results show that the reference can run with 0.8 V supply voltage, while the power consumption is only 62nW, and the PSRR of better than −43 dB over the full frequency range is achieved.","PeriodicalId":6495,"journal":{"name":"2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80326001","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A 2-clock-cycle Naïve Bayes classifier for dynamic branch prediction in pipelined RISC microprocessors 一个2时钟周期Naïve贝叶斯分类器动态分支预测在流水线RISC微处理器
Pub Date : 2016-10-01 DOI: 10.1109/APCCAS.2016.7803958
Itaru Hida, M. Ikebe, T. Asai, M. Motomura
In this paper, we propose a Bayesian branch-prediction circuit consisting of an instruction-feature extractor and a naïve Bayes classifier (NBC). Its purpose is to replace conventional branch predictors in modern pipelined RISC microprocessors. The proposed circuit is based on the conventional neural branch predictor [1]; however, the linear classifier circuit is replaced by the proposed NBC circuit. Implementing approximate Bayesian computation and its highly-parallel architectures, the NBC circuit completes branch prediction within 2 clock cycles per instruction, and is this suitable for implementation on standard pipelined microprocessors.
本文提出了一种由指令特征提取器和naïve贝叶斯分类器(NBC)组成的贝叶斯分支预测电路。它的目的是取代传统的分支预测器在现代流水线RISC微处理器。该电路基于传统的神经分支预测器[1];然而,线性分类器电路被提议的NBC电路所取代。NBC电路实现近似贝叶斯计算及其高度并行架构,每条指令在2个时钟周期内完成支路预测,适合在标准流水线微处理器上实现。
{"title":"A 2-clock-cycle Naïve Bayes classifier for dynamic branch prediction in pipelined RISC microprocessors","authors":"Itaru Hida, M. Ikebe, T. Asai, M. Motomura","doi":"10.1109/APCCAS.2016.7803958","DOIUrl":"https://doi.org/10.1109/APCCAS.2016.7803958","url":null,"abstract":"In this paper, we propose a Bayesian branch-prediction circuit consisting of an instruction-feature extractor and a naïve Bayes classifier (NBC). Its purpose is to replace conventional branch predictors in modern pipelined RISC microprocessors. The proposed circuit is based on the conventional neural branch predictor [1]; however, the linear classifier circuit is replaced by the proposed NBC circuit. Implementing approximate Bayesian computation and its highly-parallel architectures, the NBC circuit completes branch prediction within 2 clock cycles per instruction, and is this suitable for implementation on standard pipelined microprocessors.","PeriodicalId":6495,"journal":{"name":"2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80362851","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A low-power LDO circuit with a fast load regulation 具有快速负载调节的低功耗LDO电路
Pub Date : 2016-10-01 DOI: 10.1109/APCCAS.2016.7803892
Y. Jang, Seong-Eun Cho, Byungsub Kim, J. Sim, Hong-June Park
By adding a Miller frequency compensation to the conventional LDO circuit that combines the super source follower and the voltage spike detection, a low-power LDO circuit is proposed to drive the load capacitance up to 10 pF with a fast load regulation. The LDO circuit converts a 5 V input to a 3.3V output, consumes 26 μA, and settles in 75 ns at a 10 mA load current step in 1ns.
通过在传统的LDO电路中加入米勒频率补偿,将超级源跟随器和电压尖峰检测相结合,提出了一种低功耗LDO电路,可以快速调节负载,驱动负载电容达到10 pF。LDO电路将5v输入转换为3.3V输出,功耗26 μA,在10ma负载电流阶跃下,在1ns内稳定在75ns。
{"title":"A low-power LDO circuit with a fast load regulation","authors":"Y. Jang, Seong-Eun Cho, Byungsub Kim, J. Sim, Hong-June Park","doi":"10.1109/APCCAS.2016.7803892","DOIUrl":"https://doi.org/10.1109/APCCAS.2016.7803892","url":null,"abstract":"By adding a Miller frequency compensation to the conventional LDO circuit that combines the super source follower and the voltage spike detection, a low-power LDO circuit is proposed to drive the load capacitance up to 10 pF with a fast load regulation. The LDO circuit converts a 5 V input to a 3.3V output, consumes 26 μA, and settles in 75 ns at a 10 mA load current step in 1ns.","PeriodicalId":6495,"journal":{"name":"2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80887602","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
On-chip ESD protection design for radio-frequency power amplifier with large-swing-tolerance consideration 考虑大摆幅容差的射频功率放大器片上ESD保护设计
Pub Date : 2016-10-01 DOI: 10.1109/APCCAS.2016.7803948
Guan-Yi Li, Chun-Yu Lin
To effectively protect the radio-frequency (RF) integrated circuits in nanoscale CMOS technology from electrostatic discharge (ESD) damages, the on-chip ESD protection circuits must be added at the pads that may be stressed by ESD. In this paper, a large-swing-tolerant ESD protection circuit is presented to protect the gigahertz large-swing power amplifier (PA). The proposed ESD protection circuit of diode string with embedded silicon-controlled rectifier (DSSCR) has been designed, fabricated, and verified in silicon chip. With the better RF performances and ESD robustness, the DSSCR can be further applied to the large-swing PA.
为了有效地保护纳米级CMOS技术中的射频集成电路不受静电放电(ESD)的损坏,必须在片内可能受到静电放电损伤的焊盘处增加片内ESD保护电路。本文设计了一种大摆幅容限ESD保护电路,用于保护千兆赫大摆幅功率放大器。设计、制作了嵌入式可控硅二极管串ESD保护电路,并在硅芯片上进行了验证。由于具有较好的射频性能和ESD鲁棒性,DSSCR可以进一步应用于大摆幅PA。
{"title":"On-chip ESD protection design for radio-frequency power amplifier with large-swing-tolerance consideration","authors":"Guan-Yi Li, Chun-Yu Lin","doi":"10.1109/APCCAS.2016.7803948","DOIUrl":"https://doi.org/10.1109/APCCAS.2016.7803948","url":null,"abstract":"To effectively protect the radio-frequency (RF) integrated circuits in nanoscale CMOS technology from electrostatic discharge (ESD) damages, the on-chip ESD protection circuits must be added at the pads that may be stressed by ESD. In this paper, a large-swing-tolerant ESD protection circuit is presented to protect the gigahertz large-swing power amplifier (PA). The proposed ESD protection circuit of diode string with embedded silicon-controlled rectifier (DSSCR) has been designed, fabricated, and verified in silicon chip. With the better RF performances and ESD robustness, the DSSCR can be further applied to the large-swing PA.","PeriodicalId":6495,"journal":{"name":"2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80938784","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Charging the capacitor via a (Memory) resistor 通过一个(内存)电阻给电容器充电
Pub Date : 2016-10-01 DOI: 10.1109/APCCAS.2016.7804047
Zdeněk Biolek, D. Biolek, V. Biolková
The problem of charging the capacitor from a voltage source via a resistor is a common part of introductory courses of the circuit theory. None the less, the paper brings some new information concerning the energetic aspects of this process, particularly as regards charging via a time-varying resistor or charge-dependent resistor, the so-called memristor. The efficiency of the charging process is also specified for special cases, which can appear due to certain pathological characteristics of the resistor or memristor. The results of computer simulations fully correspond to the theoretical conclusions.
从电压源通过电阻器给电容器充电的问题是电路理论入门课程的一个常见部分。尽管如此,本文还是带来了一些关于这一过程能量方面的新信息,特别是关于通过时变电阻或电荷相关电阻,即所谓的忆阻器进行充电。充电过程的效率也为特殊情况规定,这种情况可能由于电阻器或忆阻器的某些病理特性而出现。计算机模拟的结果与理论结论完全吻合。
{"title":"Charging the capacitor via a (Memory) resistor","authors":"Zdeněk Biolek, D. Biolek, V. Biolková","doi":"10.1109/APCCAS.2016.7804047","DOIUrl":"https://doi.org/10.1109/APCCAS.2016.7804047","url":null,"abstract":"The problem of charging the capacitor from a voltage source via a resistor is a common part of introductory courses of the circuit theory. None the less, the paper brings some new information concerning the energetic aspects of this process, particularly as regards charging via a time-varying resistor or charge-dependent resistor, the so-called memristor. The efficiency of the charging process is also specified for special cases, which can appear due to certain pathological characteristics of the resistor or memristor. The results of computer simulations fully correspond to the theoretical conclusions.","PeriodicalId":6495,"journal":{"name":"2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89700373","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Energy-efficient hybrid adder design by using inexact lower bits adder 采用非精确低位加法器的节能混合加法器设计
Pub Date : 2016-10-01 DOI: 10.1109/APCCAS.2016.7803974
Sunghyun Kim, Youngmin Kim
Approximation in the adder logic is a promising solution for energy-efficient designs in various applications. In this study, new hybrid adder design, which consists of an accurate adder for higher bits and proposed approximate adders for lower bits, is investigated. The XOR-based inexact adder is modified to be used in the approximation part. Simulation results of 16-bits adders show that error rates can be reduced significantly by the proposed hybrid adder compared to other approximation adders with smaller number of transistors than an accurate adder.
在各种应用中,加法器逻辑中的近似是一种很有前途的节能设计解决方案。本文研究了一种新的混合加法器设计,该加法器由高位精确加法器和低位近似加法器组成。对基于xor的不精确加法器进行了改进,用于逼近部分。对16位加法器的仿真结果表明,与晶体管数量少于精确加法器的近似加法器相比,混合加法器可以显著降低误码率。
{"title":"Energy-efficient hybrid adder design by using inexact lower bits adder","authors":"Sunghyun Kim, Youngmin Kim","doi":"10.1109/APCCAS.2016.7803974","DOIUrl":"https://doi.org/10.1109/APCCAS.2016.7803974","url":null,"abstract":"Approximation in the adder logic is a promising solution for energy-efficient designs in various applications. In this study, new hybrid adder design, which consists of an accurate adder for higher bits and proposed approximate adders for lower bits, is investigated. The XOR-based inexact adder is modified to be used in the approximation part. Simulation results of 16-bits adders show that error rates can be reduced significantly by the proposed hybrid adder compared to other approximation adders with smaller number of transistors than an accurate adder.","PeriodicalId":6495,"journal":{"name":"2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2016-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89964219","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
期刊
2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1