首页 > 最新文献

2021 IEEE 71st Electronic Components and Technology Conference (ECTC)最新文献

英文 中文
Novel Connector Mechanism Using Anisotropic Conductive Rubber for Trillion-Node Engine as an IoT Edge Platform 基于各向异性导电橡胶的新型连接器机制用于万亿节点引擎作为物联网边缘平台
Pub Date : 2021-06-01 DOI: 10.1109/ECTC32696.2021.00092
K. Agawa, Tokihiko Mori, R. Ninomiya, M. Takizawa, Takayasu Sakurai
An IoT edge platform, where desired IoT nodes are realized selecting and connecting several single-function printed circuit boards (PCBs) such as a sensor, microprocessor, and power supply unit with anisotropic conductive rubber (ACR) connectors, is demonstrated. The PCBs are called “leaf PCBs” and their dimensions are typically $mathrm{2} text{cm}times mathrm{2} text{cm}$. Small rubber connectors are employed to connect these PCBs so that soldering is unnecessary and removable and replaceable structure is realized for customizability of IoT nodes. A wire connection mechanism is also developed to connect wires between leaf PCBs and external devices such as motors and sensors. It is smaller than conventional terminal blocks. To investigate important performance of the proposed connection schemes, temperature cycle tests for ACR connectors and retention strength tests for wire connection mechanisms are carried out.
展示了一个物联网边缘平台,在该平台上实现所需的物联网节点,选择和连接多个单功能印刷电路板(pcb),如传感器、微处理器和带有各向异性导电橡胶(ACR)连接器的电源单元。pcb被称为“叶子pcb”,它们的尺寸通常是$ mathm {2} text{cm}乘以 mathm {2} text{cm}$。使用小型橡胶连接器连接这些pcb,因此无需焊接,并且实现了可拆卸和可更换的结构,以实现物联网节点的可定制性。还开发了一种导线连接机构,用于连接叶片pcb与外部设备(如电机和传感器)之间的导线。它比传统的端子座小。为了研究所提出的连接方案的重要性能,对ACR连接器进行了温度循环试验,并对导线连接机构进行了保持强度试验。
{"title":"Novel Connector Mechanism Using Anisotropic Conductive Rubber for Trillion-Node Engine as an IoT Edge Platform","authors":"K. Agawa, Tokihiko Mori, R. Ninomiya, M. Takizawa, Takayasu Sakurai","doi":"10.1109/ECTC32696.2021.00092","DOIUrl":"https://doi.org/10.1109/ECTC32696.2021.00092","url":null,"abstract":"An IoT edge platform, where desired IoT nodes are realized selecting and connecting several single-function printed circuit boards (PCBs) such as a sensor, microprocessor, and power supply unit with anisotropic conductive rubber (ACR) connectors, is demonstrated. The PCBs are called “leaf PCBs” and their dimensions are typically $mathrm{2} text{cm}times mathrm{2} text{cm}$. Small rubber connectors are employed to connect these PCBs so that soldering is unnecessary and removable and replaceable structure is realized for customizability of IoT nodes. A wire connection mechanism is also developed to connect wires between leaf PCBs and external devices such as motors and sensors. It is smaller than conventional terminal blocks. To investigate important performance of the proposed connection schemes, temperature cycle tests for ACR connectors and retention strength tests for wire connection mechanisms are carried out.","PeriodicalId":351817,"journal":{"name":"2021 IEEE 71st Electronic Components and Technology Conference (ECTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122351831","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A TSV-Last Approach for 3D-IC Integration and Packaging using WNi Platable Barrier Layer 基于WNi平板阻挡层的3d集成封装TSV-Last方法
Pub Date : 2021-06-01 DOI: 10.1109/ECTC32696.2021.00060
M. Mariappan, K. Mori, M. Koyanagi, T. Fukushima
° A physical vapor deposition (PVD) formed WNi thinfilm over M1 (metal level 1) after its revealing in the via-last through-Si-via (TSV) process has been investigated for its ability in protecting the M1 metal during electroless (EL) plating of barrier/seed layers or Cu electroplating (EP). The WNi protection layer was also checked for (i) its platability of Cu by both EL and EP methods (the void-free formation of Cu-TSVs by direct Cu-EPing over WNi layer), (ii) its barrier performance against Cu diffusion (from the absence of Cu singal in both WNi and Si region of Auger electron depth profile), (iii) its adhession nature (intactness of film after the tape-peel test), and (iv) its endurance during Cu-CMP (chemical mechanical polishing). All the results confimed that PVD formed WNi not only protects the M1 metal layer from the EL or EP bath, but also forms a very good barrier-cum-seed layer in the Cu-TSVs.
°研究了物理气相沉积(PVD)在M1(金属级1)上形成的WNi薄膜,该薄膜在通过-最后通过- si -孔(TSV)工艺暴露后,在化学(EL)镀阻挡层/种子层或镀铜(EP)期间保护M1金属的能力。WNi保护层还通过EL和EP方法(通过直接Cu-EP在WNi层上形成无空洞的Cu- tsv), (ii)其对Cu扩散的阻挡性能(从俄歇电子深度剖面的WNi和Si区域中缺乏Cu信号),(iii)其附着力(胶带剥离测试后薄膜的完整性),以及(iv)其在Cu- cmp(化学机械抛光)过程中的耐久性。这些结果证实了PVD形成的WNi不仅可以保护M1金属层免受EL或EP浴的伤害,而且可以在cu - tsv中形成很好的阻挡和种子层。
{"title":"A TSV-Last Approach for 3D-IC Integration and Packaging using WNi Platable Barrier Layer","authors":"M. Mariappan, K. Mori, M. Koyanagi, T. Fukushima","doi":"10.1109/ECTC32696.2021.00060","DOIUrl":"https://doi.org/10.1109/ECTC32696.2021.00060","url":null,"abstract":"° A physical vapor deposition (PVD) formed WNi thinfilm over M1 (metal level 1) after its revealing in the via-last through-Si-via (TSV) process has been investigated for its ability in protecting the M1 metal during electroless (EL) plating of barrier/seed layers or Cu electroplating (EP). The WNi protection layer was also checked for (i) its platability of Cu by both EL and EP methods (the void-free formation of Cu-TSVs by direct Cu-EPing over WNi layer), (ii) its barrier performance against Cu diffusion (from the absence of Cu singal in both WNi and Si region of Auger electron depth profile), (iii) its adhession nature (intactness of film after the tape-peel test), and (iv) its endurance during Cu-CMP (chemical mechanical polishing). All the results confimed that PVD formed WNi not only protects the M1 metal layer from the EL or EP bath, but also forms a very good barrier-cum-seed layer in the Cu-TSVs.","PeriodicalId":351817,"journal":{"name":"2021 IEEE 71st Electronic Components and Technology Conference (ECTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122371187","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Heterogenous Integration of Silicon Ion Trap and Glass Interposer for Scalable Quantum Computing Enabled by TSV, Micro-bumps and RDL 基于TSV、微碰撞和RDL实现可扩展量子计算的硅离子阱和玻璃中间层异质集成
Pub Date : 2021-06-01 DOI: 10.1109/ECTC32696.2021.00054
P. Zhao, Hong Yu Li, J. Tao, Y. Lim, W. Seit, L. Guidoni, C. S. Tan
In this work, we report the heterogenous integration of ion trap on silicon assembled on glass interposer, where TSV, micro-bumps and redistribution layer are implemented and allow for ion trap design with significantly high flexibility. CMOS-compatible back-end-of-line process is employed on 300 mm silicon/glass wafer platform. Due to the incorporation of TSVs into ion trap design, the footprint of ion trap is reduced. Correspondingly, low parasitic capacitance (3 pF) and RF loss (insertion loss of −0.1 dB at frequency of 50 MHz) are achieved, presenting significant improvement than conventional trap that uses wire bonding as interconnections. The trap with reduced footprint also enables small-beam laser addressing. The obtained ion lifetime and heating rate are comparable with traps of similar dimension that operated in room temperature. Two solutions to mitigate trap heating issue are proposed. This heterogenous integrated ion trap is an important building block for scalable quantum information processing based on ion trap device.
在这项工作中,我们报道了组装在玻璃中间层上的硅离子阱的异质集成,其中实现了TSV,微凸起和再分配层,并允许离子阱设计具有很高的灵活性。在300mm硅/玻璃晶圆平台上采用cmos兼容后端工艺。由于在离子阱设计中加入了tsv,离子阱的占地面积减小了。相应地,实现了低寄生电容(3pf)和RF损耗(在50 MHz频率下的插入损耗为- 0.1 dB),比使用线键合作为互连的传统陷阱有显著改进。占地面积减少的陷阱也可以实现小光束激光寻址。所获得的离子寿命和加热速率与在室温下操作的类似尺寸的捕集器相当。提出了两种解决捕集器加热问题的方法。这种异质集成离子阱是基于离子阱器件的可扩展量子信息处理的重要组成部分。
{"title":"Heterogenous Integration of Silicon Ion Trap and Glass Interposer for Scalable Quantum Computing Enabled by TSV, Micro-bumps and RDL","authors":"P. Zhao, Hong Yu Li, J. Tao, Y. Lim, W. Seit, L. Guidoni, C. S. Tan","doi":"10.1109/ECTC32696.2021.00054","DOIUrl":"https://doi.org/10.1109/ECTC32696.2021.00054","url":null,"abstract":"In this work, we report the heterogenous integration of ion trap on silicon assembled on glass interposer, where TSV, micro-bumps and redistribution layer are implemented and allow for ion trap design with significantly high flexibility. CMOS-compatible back-end-of-line process is employed on 300 mm silicon/glass wafer platform. Due to the incorporation of TSVs into ion trap design, the footprint of ion trap is reduced. Correspondingly, low parasitic capacitance (3 pF) and RF loss (insertion loss of −0.1 dB at frequency of 50 MHz) are achieved, presenting significant improvement than conventional trap that uses wire bonding as interconnections. The trap with reduced footprint also enables small-beam laser addressing. The obtained ion lifetime and heating rate are comparable with traps of similar dimension that operated in room temperature. Two solutions to mitigate trap heating issue are proposed. This heterogenous integrated ion trap is an important building block for scalable quantum information processing based on ion trap device.","PeriodicalId":351817,"journal":{"name":"2021 IEEE 71st Electronic Components and Technology Conference (ECTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130572723","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A comparison study of TIM degradation of phase change material and thermal grease 相变材料与导热润滑脂降解TIM的比较研究
Pub Date : 2021-06-01 DOI: 10.1109/ECTC32696.2021.00312
Junbo Yang, Yangyang Lai, K. Pan, Jiefeng Xu, Travis Mikjaniec, S. Cain, Seungbae Park
All electronic packages will induce excess heat during usage and heat dissipation methods were developed to remove spare heat from the die in order to improve reliability. Thermal interface materials (TIMs) are one of the key solutions to decrease the thermal resistance between the power device and heat sink. There are a wide variety of TIM material types in electronic packages. Thermal grease is a thermally conductive chemical with high thermal conductivity and commonly used for high-power packages. Phase change materials (PCMs) which are epoxy-based polymer with some thermally conductive fillers will soften with heat and change state from solid to semi-solid and semi-liquid. Compare to thermal grease, PCMs are easy to assemble and no need to dry-out and reduced the voids, pump-out, or delamination. In this study, PCMs and thermal grease during thermal cycling and power cycling were studied. For power cycling, heaters were built inside the die and corresponding thermal sensors were designed to spread all over the die to monitor the thermal resistance changes which reflect temperature changes through the cycling. Wind speed and loading pressure were set as working conditions. According to the resistance of each heater, adjust current to keep total power a constant. After cycling, TIM material behaviors were analyzed. The performance of PCMs and thermal grease under thermal cycling and power cycling and the effect of the degradation of these TIMs are studied and discussed. Based on experiment results, PCMs has better performance in thermal cycle test but thermal grease is more stable than PCMs in power cycle test.
所有电子封装在使用过程中都会产生多余的热量,为了提高可靠性,开发了散热方法来消除模具中的多余热量。热界面材料是降低电源器件与散热器之间热阻的关键解决方案之一。电子封装中有各种各样的TIM材料类型。导热脂是一种具有高导热性的导热化学品,通常用于大功率封装。相变材料(PCMs)是一种环氧基聚合物,加入一些导热填料,受热软化,由固态变为半固态半液态的相变材料。与导热润滑脂相比,pcm易于组装,无需干燥,减少了空隙,泵出或分层。本研究对热循环和动力循环过程中PCMs和导热脂进行了研究。对于功率循环,在模具内部安装加热器,并设计相应的热传感器遍布整个模具,监测热阻的变化,热阻的变化反映了循环过程中温度的变化。风速和加载压力作为工作条件。根据各加热器的电阻,调节电流,保持总功率恒定。循环后,对TIM材料进行性能分析。研究和讨论了热循环和功率循环条件下pcm和导热脂的性能以及TIMs降解的影响。实验结果表明,pcm在热循环测试中表现出更好的性能,但在功率循环测试中,导热润滑脂比pcm更稳定。
{"title":"A comparison study of TIM degradation of phase change material and thermal grease","authors":"Junbo Yang, Yangyang Lai, K. Pan, Jiefeng Xu, Travis Mikjaniec, S. Cain, Seungbae Park","doi":"10.1109/ECTC32696.2021.00312","DOIUrl":"https://doi.org/10.1109/ECTC32696.2021.00312","url":null,"abstract":"All electronic packages will induce excess heat during usage and heat dissipation methods were developed to remove spare heat from the die in order to improve reliability. Thermal interface materials (TIMs) are one of the key solutions to decrease the thermal resistance between the power device and heat sink. There are a wide variety of TIM material types in electronic packages. Thermal grease is a thermally conductive chemical with high thermal conductivity and commonly used for high-power packages. Phase change materials (PCMs) which are epoxy-based polymer with some thermally conductive fillers will soften with heat and change state from solid to semi-solid and semi-liquid. Compare to thermal grease, PCMs are easy to assemble and no need to dry-out and reduced the voids, pump-out, or delamination. In this study, PCMs and thermal grease during thermal cycling and power cycling were studied. For power cycling, heaters were built inside the die and corresponding thermal sensors were designed to spread all over the die to monitor the thermal resistance changes which reflect temperature changes through the cycling. Wind speed and loading pressure were set as working conditions. According to the resistance of each heater, adjust current to keep total power a constant. After cycling, TIM material behaviors were analyzed. The performance of PCMs and thermal grease under thermal cycling and power cycling and the effect of the degradation of these TIMs are studied and discussed. Based on experiment results, PCMs has better performance in thermal cycle test but thermal grease is more stable than PCMs in power cycle test.","PeriodicalId":351817,"journal":{"name":"2021 IEEE 71st Electronic Components and Technology Conference (ECTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132140018","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
Development of Hybrid Bonding Process for Embedded Bump with Cu-Sn/BCB Structure Cu-Sn/BCB结构嵌入式凹凸棒复合键合工艺的研究
Pub Date : 2021-06-01 DOI: 10.1109/ECTC32696.2021.00086
Huang Chen, Xiuyu Shi, Jin Wang, Yang Hu, Qian Wang, Jian Cai
High density, fine pitch wafer level bonding technology is necessary for heterogeneous integration. However, underfill would be a challenging when bonding pitch decreases. Hybrid bonding technology with metal-to-metal and passivation-to-passivation bonding simultaneously was considered as a feasible solution. In this work, a hybrid bonding scheme with asymmetric embedded Cu-Sn/Cu bumps structure was investigated. Photosensitive BCB used as passivation materials was coated on only one side of bonding pairs. This asymmetric structure allows for precise alignment. BCB curing and Cu-Sn Solid-liquid-diffusion bonding were both achieved under the temperature of 250°C. The thickness of BCB and metal was concisely designed considering the volume shrinking of polymer during bonding process. To achieve void free hybrid bonding interface, efforts were mainly paid to some key aspects. Surface pre-treatment were firstly introduced to ensure no oxidation and residual polymer on the surface of bumps before bonding. A combination of plasma and formic gas treatment were adopted. Furthermore, considering coefficients of thermal expansion (CTE) mismatch of BCB and embedded bumps, a compatible bonding process with well-matched temperature and stress was proposed and optimized to get a void-free bonding interface under the guidance of independent variable test result. Bonding interface microstructure was observed and mechanical test, electrical test of Kelvin and daisy-chain structure were performed to evaluate bonding quality, also reliability test which included HTST and TCT had been carried out. The results confirmed that embedded bump hybrid bonding would be a convincing technology for future 3D integration.
高密度、细间距晶圆级键合技术是实现异质集成的必要条件。然而,当粘接间距减小时,下填料将是一个挑战。同时采用金属-金属和钝化-钝化键合的杂化键合技术是可行的解决方案。本文研究了不对称嵌入Cu- sn /Cu凸点结构的杂化键合方案。作为钝化材料的光敏BCB仅涂覆在键对的一侧。这种非对称结构允许精确对准。在250℃的温度下,实现了BCB固化和Cu-Sn固-液-扩散键合。考虑到聚合物在粘接过程中的体积收缩,对BCB和金属的厚度进行了简明的设计。为实现无空隙杂化键合界面,重点从几个关键方面进行了研究。首先介绍了表面预处理,以确保在粘合前凸点表面无氧化和残留聚合物。采用等离子体和甲醛气相结合的处理方法。在自变量试验结果的指导下,考虑BCB热膨胀系数(CTE)与嵌入凸点的失配,提出并优化了温度与应力匹配良好的兼容键合工艺,得到无空洞的键合界面。观察粘接界面微观结构,进行力学试验、Kelvin试验和菊链结构电学试验评价粘接质量,并进行HTST和TCT可靠性试验。结果证实,嵌入式凹凸混合键合将是未来3D集成的一种令人信服的技术。
{"title":"Development of Hybrid Bonding Process for Embedded Bump with Cu-Sn/BCB Structure","authors":"Huang Chen, Xiuyu Shi, Jin Wang, Yang Hu, Qian Wang, Jian Cai","doi":"10.1109/ECTC32696.2021.00086","DOIUrl":"https://doi.org/10.1109/ECTC32696.2021.00086","url":null,"abstract":"High density, fine pitch wafer level bonding technology is necessary for heterogeneous integration. However, underfill would be a challenging when bonding pitch decreases. Hybrid bonding technology with metal-to-metal and passivation-to-passivation bonding simultaneously was considered as a feasible solution. In this work, a hybrid bonding scheme with asymmetric embedded Cu-Sn/Cu bumps structure was investigated. Photosensitive BCB used as passivation materials was coated on only one side of bonding pairs. This asymmetric structure allows for precise alignment. BCB curing and Cu-Sn Solid-liquid-diffusion bonding were both achieved under the temperature of 250°C. The thickness of BCB and metal was concisely designed considering the volume shrinking of polymer during bonding process. To achieve void free hybrid bonding interface, efforts were mainly paid to some key aspects. Surface pre-treatment were firstly introduced to ensure no oxidation and residual polymer on the surface of bumps before bonding. A combination of plasma and formic gas treatment were adopted. Furthermore, considering coefficients of thermal expansion (CTE) mismatch of BCB and embedded bumps, a compatible bonding process with well-matched temperature and stress was proposed and optimized to get a void-free bonding interface under the guidance of independent variable test result. Bonding interface microstructure was observed and mechanical test, electrical test of Kelvin and daisy-chain structure were performed to evaluate bonding quality, also reliability test which included HTST and TCT had been carried out. The results confirmed that embedded bump hybrid bonding would be a convincing technology for future 3D integration.","PeriodicalId":351817,"journal":{"name":"2021 IEEE 71st Electronic Components and Technology Conference (ECTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127863791","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Electrical design challenges in High Bandwidth Memory and Advanced Interface Bus interfaces on HD-FOWLP technology HD-FOWLP技术中高带宽存储器和高级接口总线接口的电气设计挑战
Pub Date : 2021-06-01 DOI: 10.1109/ECTC32696.2021.00063
M. D. Rotaru, Li Kangrong
Wide and slow bus interfaces such as High Bandwidth Memory (HBM) and Advanced Interface Bus (AIB) are the main drivers behind the development and implementation of technologies such as Embedded Multi-die Interconnect Bridge (EMIB) and Chip-on-Wafer-on-Substrate (CoWoS). These technologies can create very dense interconnect structures using back end of line (BEOL) approaches used to interconnect chiplets and to form functional system in package applications. With recent improvements in fabrication and process technologies organic based high density redistribution layer approaches such HD-FOWLP have become popular alternatives to EMIB and CoWoS. Redistribution layers with $2text{um}times 2text{um}$ cross section and 2um space between adjacent lines or $1text{um}times 1text{um}$ cross section with a minimum spacing of 1um have become achievable with the current fabrication technology. In this work the electrical design challenges in organic based fine RDL packages have been studied via simulation and electrical measurements.
宽而慢的总线接口,如高带宽存储器(HBM)和高级接口总线(AIB),是嵌入式多芯片互连桥(EMIB)和片上基板(coos)等技术开发和实现背后的主要驱动力。这些技术可以使用后端线(BEOL)方法创建非常密集的互连结构,用于互连小芯片并在封装应用中形成功能系统。随着最近制造和工艺技术的改进,基于有机的高密度再分布层方法,如HD-FOWLP,已成为EMIB和cobos的流行替代品。利用目前的制造技术,可以实现2text{um}乘以2text{um}$横截面和相邻线之间2um间距的再分布层,或者1text{um}乘以1text{um}$横截面的最小间距为1um。在这项工作中,通过仿真和电气测量研究了有机基精细RDL封装的电气设计挑战。
{"title":"Electrical design challenges in High Bandwidth Memory and Advanced Interface Bus interfaces on HD-FOWLP technology","authors":"M. D. Rotaru, Li Kangrong","doi":"10.1109/ECTC32696.2021.00063","DOIUrl":"https://doi.org/10.1109/ECTC32696.2021.00063","url":null,"abstract":"Wide and slow bus interfaces such as High Bandwidth Memory (HBM) and Advanced Interface Bus (AIB) are the main drivers behind the development and implementation of technologies such as Embedded Multi-die Interconnect Bridge (EMIB) and Chip-on-Wafer-on-Substrate (CoWoS). These technologies can create very dense interconnect structures using back end of line (BEOL) approaches used to interconnect chiplets and to form functional system in package applications. With recent improvements in fabrication and process technologies organic based high density redistribution layer approaches such HD-FOWLP have become popular alternatives to EMIB and CoWoS. Redistribution layers with $2text{um}times 2text{um}$ cross section and 2um space between adjacent lines or $1text{um}times 1text{um}$ cross section with a minimum spacing of 1um have become achievable with the current fabrication technology. In this work the electrical design challenges in organic based fine RDL packages have been studied via simulation and electrical measurements.","PeriodicalId":351817,"journal":{"name":"2021 IEEE 71st Electronic Components and Technology Conference (ECTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128823741","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Reliability Modeling of Micro-vias in High-Density Redistribution Layers 高密度重分布层微通孔可靠性建模
Pub Date : 2021-06-01 DOI: 10.1109/ECTC32696.2021.00161
Pratik Nimbalkar, M. Kathaperumal, Fuhan Liu, M. Swaminathan, R. Tummala
The ever-increasing demand for high-bandwidth interconnects has given rise to the need for high IO-density package redistribution layers (RDL). This necessitates scaling down RDL critical dimensions as well as microvias. There are numerous challenges pertaining to scaling down microvias below $5 mu mathrm{m}$ diameter. The main challenge is the thermomechanical reliability of vias in polymer dielectrics. Modeling and design for reliability in various polymer dielectrics is the key to achieve mechanical reliability. This paper presents a model for the prediction of micro-via failure. The effects of via geometry such as-via angle and height as well as material properties such as-CTE and elastic modulus on via failure are presented. Furthermore, modeling results are correlated with experimental results to verify the accuracy of the model. Using this model, it was determined that the conventional via geometry reaches an engineering limit at $2 mu mathrm{m}$ of via diameter. Below this size, it becomes difficult to achieve reliable vias in polymers as they do not survive 1000 thermal cycles. Based on the modeling studies, a novel method is proposed for enhancement of reliability of vias below the engineering limit of $2 mu mathrm{m}$.
随着对高带宽互连需求的不断增长,对高io密度封装再分配层(RDL)的需求也随之增加。这就需要缩小RDL的关键尺寸以及微通孔。将微通孔缩小到5 mu math {m}$直径以下存在许多挑战。主要的挑战是聚合物电介质中过孔的热机械可靠性。各种聚合物电介质的可靠性建模和设计是实现机械可靠性的关键。本文提出了一种微通孔失效预测模型。讨论了孔口几何形状(孔口角度和高度)以及材料性能(cte和弹性模量)对孔口破坏的影响。并将建模结果与实验结果进行了对比,验证了模型的准确性。利用该模型,确定了传统的通孔几何形状在通孔直径$2 mu mathm {m}$处达到工程极限。在这个尺寸以下,很难在聚合物中实现可靠的过孔,因为它们不能经受1000次热循环。在建模研究的基础上,提出了一种提高过孔可靠性的新方法,该方法低于工程极限$2 mu mathm {m}$。
{"title":"Reliability Modeling of Micro-vias in High-Density Redistribution Layers","authors":"Pratik Nimbalkar, M. Kathaperumal, Fuhan Liu, M. Swaminathan, R. Tummala","doi":"10.1109/ECTC32696.2021.00161","DOIUrl":"https://doi.org/10.1109/ECTC32696.2021.00161","url":null,"abstract":"The ever-increasing demand for high-bandwidth interconnects has given rise to the need for high IO-density package redistribution layers (RDL). This necessitates scaling down RDL critical dimensions as well as microvias. There are numerous challenges pertaining to scaling down microvias below $5 mu mathrm{m}$ diameter. The main challenge is the thermomechanical reliability of vias in polymer dielectrics. Modeling and design for reliability in various polymer dielectrics is the key to achieve mechanical reliability. This paper presents a model for the prediction of micro-via failure. The effects of via geometry such as-via angle and height as well as material properties such as-CTE and elastic modulus on via failure are presented. Furthermore, modeling results are correlated with experimental results to verify the accuracy of the model. Using this model, it was determined that the conventional via geometry reaches an engineering limit at $2 mu mathrm{m}$ of via diameter. Below this size, it becomes difficult to achieve reliable vias in polymers as they do not survive 1000 thermal cycles. Based on the modeling studies, a novel method is proposed for enhancement of reliability of vias below the engineering limit of $2 mu mathrm{m}$.","PeriodicalId":351817,"journal":{"name":"2021 IEEE 71st Electronic Components and Technology Conference (ECTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125475521","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Plasma Activated Low-temperature Die-level Direct Bonding with Advanced Wafer Dicing Technologies for 3D Heterogeneous Integration 等离子体激活低温模级直接键合与先进晶圆切割技术的三维异质集成
Pub Date : 2021-06-01 DOI: 10.1109/ECTC32696.2021.00075
K. Sakuma, Dishit P. Parekh, M. Belyansky, Juan-Manuel Gomez, S. Skordas, D. Mcherron, I. de Sousa, Marc-Antoine K. Phaneuf, Martin M Desrochers, Ming Li, Y. Cheung, Siu Cheung So, S. Kwok, Chun Ho Fan, Siu Wing Lau
In this paper, we have demonstrated a plasma activated low-temperature die-level oxide-oxide direct bonding with advanced wafer dicing technologies. This evaluation used blanket 300-mm silicon wafers. $1 mumathrm{m}$ Tetraethyl orthosilicate (TEOS) oxide was deposited by plasma-enhanced chemical vapor deposition (PECVD) directly on the silicon (Si) wafer surface, followed by chemical mechanical planarization (CMP). Atomic Force Microscopy (AFM) was used to examine the roughness of the wafer surface before dicing and it showed < 0.38 nm RMS and < 0.30 nm $mathrm{R}_{mathrm{a}}$. Several dicing technologies such as diamond blade dicing, step-cut blade dicing, bevel blade dicing, and stealth laser dicing were evaluated for this integration scheme. In the end, diamond blade dicing has the most compatibility with many materials, but it led to large chipping on the edges of the die. Stealth laser dicing achieves edge chipping of less than $2 mumathrm{m}$, which is the least amount of damage among of all dicing methods tested in this study. In the bonding test, the 10 mm square silicon die was bonded to a 35-mm square silicon substrate. Both silicon die and substrate are of thickness $760 mumathrm{m}$. Prior to direct oxide-oxide bonding, both silicon die, and substrate went through a two-step cleaning process. The detailed process of the plasma activated die-level direct bonding is discussed.
在本文中,我们展示了一种等离子体激活的低温模级氧化物-氧化物直接结合先进的晶圆切割技术。该评估使用了300mm的硅片。采用等离子体增强化学气相沉积法(PECVD)将正硅酸四乙酯(TEOS)氧化物直接沉积在硅(Si)晶圆表面,然后进行化学机械平面化(CMP)。原子力显微镜(AFM)检测晶圆片切割前的表面粗糙度,RMS < 0.38 nm, RMS < 0.30 nm $ mathm {R}_{ mathm {a}}$。针对该集成方案,对金刚石刀片切割、阶梯切割刀片切割、斜角刀片切割和隐形激光切割等几种切割技术进行了评估。最后,金刚石刀片切割与许多材料的兼容性最好,但它导致了模具边缘的大切屑。隐形激光切割实现了小于$2 mumathrm{m}$的边缘切割,是本研究测试的所有切割方法中损伤最小的。在键合测试中,将10毫米见方的硅模与35毫米见方的硅衬底键合。硅模和衬底厚度均为$760 mu mathm {m}$。在直接氧化键合之前,硅模和衬底都要经过两步清洗过程。讨论了等离子体激活模级直接键合的详细过程。
{"title":"Plasma Activated Low-temperature Die-level Direct Bonding with Advanced Wafer Dicing Technologies for 3D Heterogeneous Integration","authors":"K. Sakuma, Dishit P. Parekh, M. Belyansky, Juan-Manuel Gomez, S. Skordas, D. Mcherron, I. de Sousa, Marc-Antoine K. Phaneuf, Martin M Desrochers, Ming Li, Y. Cheung, Siu Cheung So, S. Kwok, Chun Ho Fan, Siu Wing Lau","doi":"10.1109/ECTC32696.2021.00075","DOIUrl":"https://doi.org/10.1109/ECTC32696.2021.00075","url":null,"abstract":"In this paper, we have demonstrated a plasma activated low-temperature die-level oxide-oxide direct bonding with advanced wafer dicing technologies. This evaluation used blanket 300-mm silicon wafers. $1 mumathrm{m}$ Tetraethyl orthosilicate (TEOS) oxide was deposited by plasma-enhanced chemical vapor deposition (PECVD) directly on the silicon (Si) wafer surface, followed by chemical mechanical planarization (CMP). Atomic Force Microscopy (AFM) was used to examine the roughness of the wafer surface before dicing and it showed < 0.38 nm RMS and < 0.30 nm $mathrm{R}_{mathrm{a}}$. Several dicing technologies such as diamond blade dicing, step-cut blade dicing, bevel blade dicing, and stealth laser dicing were evaluated for this integration scheme. In the end, diamond blade dicing has the most compatibility with many materials, but it led to large chipping on the edges of the die. Stealth laser dicing achieves edge chipping of less than $2 mumathrm{m}$, which is the least amount of damage among of all dicing methods tested in this study. In the bonding test, the 10 mm square silicon die was bonded to a 35-mm square silicon substrate. Both silicon die and substrate are of thickness $760 mumathrm{m}$. Prior to direct oxide-oxide bonding, both silicon die, and substrate went through a two-step cleaning process. The detailed process of the plasma activated die-level direct bonding is discussed.","PeriodicalId":351817,"journal":{"name":"2021 IEEE 71st Electronic Components and Technology Conference (ECTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125484203","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A New Semiconductor Package Design Flow and Platform Applied on High Density Fan-out Chip 应用于高密度扇出芯片的新型半导体封装设计流程和平台
Pub Date : 2021-06-01 DOI: 10.1109/ECTC32696.2021.00030
Chen-Chao Wang, Chih-Yi Huang, K. Chang, Youle Lin
In recent years, because the needs of 5G mobile communications, artificial intelligence, self-driving cars, and high-speed networks product are highly increasing, more and more IC design companies have invested in a lots of computing and high-performance computing device development. At present, the package type used in such high-performance computing product mass production is 2.5D IC package with ultra-high density I/O, which is special for packaging of IC products such as AI, high performance GPU, and high-speed networking devices. Compared to the traditional Flip Chip BGA (FCBGA) package on the market, the 2.5D package has a unique silicon interposer, and there are ASIC chip and HBM chips on the silicon interposer. Between the ASIC chip and the HBM chips, a lot of high-speed signal lines and thousands of small vias are connected. In addition to the signal line between the ASIC chip and the HBM chip, the silicon interposer has an important structure-TSV (Through Silicon Via) to act as the connection between the ASIC chips or the HBM chips and the package substrate. Because of the existing of TSV, the production yield of the silicon interposer is not easy to increase. Considering productivity and cost, some OSAT (Outsourced Semiconductor Assembly and Test) companies hence [1]–[5] proposed some TSV-free packaging structures, such as FOCoS (Fan-out Chip on Substrate). According to different process, there are Chip First FOCoS and Chip last FOCoS, which are suitable for different applications and production costs [6]. The research and discussion in this paper, there are package design with the structure of FOCoS for an actual high-performance computing IC device with two ASIC chips. In the process of this actual project, the SiP-id (System-in-Package Intelligent Design) design platform was used to complete the routings of ultra-high density I/O such as the Si interposer MEOL (Middle End of Line) and Fan-Out RDL. Compared with the traditional design platform, it was greatly pull-in design cycle time. The design time and the accuracy of the design are improved obviously. In addition, this paper also delivers a lot of self-developed programs to link the design and validation tools from different vendors.
近年来,由于5G移动通信、人工智能、自动驾驶汽车、高速网络产品的需求高度增长,越来越多的IC设计公司投入了大量的计算和高性能计算设备的开发。目前,用于此类高性能计算产品量产的封装类型为超高密度I/O的2.5D IC封装,专门用于AI、高性能GPU、高速网络设备等IC产品的封装。与市场上传统的倒装BGA (FCBGA)封装相比,2.5D封装具有独特的硅中间层,并且在硅中间层上有ASIC芯片和HBM芯片。在ASIC芯片和HBM芯片之间,连接着许多高速信号线和成千上万的小过孔。除了ASIC芯片和HBM芯片之间的信号线外,硅中间层还有一个重要的结构——tsv (Through silicon Via),作为ASIC芯片或HBM芯片与封装基板之间的连接。由于TSV的存在,硅中间层的成品率不易提高。考虑到生产力和成本,一些OSAT(外包半导体组装和测试)公司因此[1]-[5]提出了一些无tsv的封装结构,如FOCoS(基板上的扇形芯片)。根据不同的工艺,有芯片优先foco和芯片后foco,适用于不同的应用和生产成本。在本文的研究和讨论中,针对一个实际的高性能计算集成电路器件,采用foco结构进行了封装设计。在实际项目过程中,采用SiP-id (System-in-Package Intelligent Design)设计平台完成Si interposer MEOL (Middle End of Line)、Fan-Out RDL等超高密度I/O的布线。与传统的设计平台相比,大大缩短了设计周期。设计时间和设计精度明显提高。此外,本文还提供了许多自行开发的程序来连接来自不同供应商的设计和验证工具。
{"title":"A New Semiconductor Package Design Flow and Platform Applied on High Density Fan-out Chip","authors":"Chen-Chao Wang, Chih-Yi Huang, K. Chang, Youle Lin","doi":"10.1109/ECTC32696.2021.00030","DOIUrl":"https://doi.org/10.1109/ECTC32696.2021.00030","url":null,"abstract":"In recent years, because the needs of 5G mobile communications, artificial intelligence, self-driving cars, and high-speed networks product are highly increasing, more and more IC design companies have invested in a lots of computing and high-performance computing device development. At present, the package type used in such high-performance computing product mass production is 2.5D IC package with ultra-high density I/O, which is special for packaging of IC products such as AI, high performance GPU, and high-speed networking devices. Compared to the traditional Flip Chip BGA (FCBGA) package on the market, the 2.5D package has a unique silicon interposer, and there are ASIC chip and HBM chips on the silicon interposer. Between the ASIC chip and the HBM chips, a lot of high-speed signal lines and thousands of small vias are connected. In addition to the signal line between the ASIC chip and the HBM chip, the silicon interposer has an important structure-TSV (Through Silicon Via) to act as the connection between the ASIC chips or the HBM chips and the package substrate. Because of the existing of TSV, the production yield of the silicon interposer is not easy to increase. Considering productivity and cost, some OSAT (Outsourced Semiconductor Assembly and Test) companies hence [1]–[5] proposed some TSV-free packaging structures, such as FOCoS (Fan-out Chip on Substrate). According to different process, there are Chip First FOCoS and Chip last FOCoS, which are suitable for different applications and production costs [6]. The research and discussion in this paper, there are package design with the structure of FOCoS for an actual high-performance computing IC device with two ASIC chips. In the process of this actual project, the SiP-id (System-in-Package Intelligent Design) design platform was used to complete the routings of ultra-high density I/O such as the Si interposer MEOL (Middle End of Line) and Fan-Out RDL. Compared with the traditional design platform, it was greatly pull-in design cycle time. The design time and the accuracy of the design are improved obviously. In addition, this paper also delivers a lot of self-developed programs to link the design and validation tools from different vendors.","PeriodicalId":351817,"journal":{"name":"2021 IEEE 71st Electronic Components and Technology Conference (ECTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125591698","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A novel integration scheme for wafer singulation and selective processing using temporary dry film resist 一种利用临时干膜抗蚀剂进行晶圆模拟和选择性加工的新型集成方案
Pub Date : 2021-06-01 DOI: 10.1109/ECTC32696.2021.00136
Alexandre La Grappe, Evert Visker, A. Redolfi, Lan Peng, Karthik Muga, David Huls, S. Vanhaelemeersch, A. Lauwers, J. Ackaert
Patterning on Si with high aspect ratio trenches by spin-coating of photoresist faces significant challenges. The desire to maintain a good thickness uniformity of resist on wafer surface, to minimize any residue inside deep trenches, as well as enabling low cost of ownership has led to new process techniques. Wafer level lamination using dry film resist (DFR) has emerged as a favorable option for such applications. In this paper, a unique application of temporary DFR to overcome deep Si trenches will be presented. The integration scheme offers novel possibilities for wafer singulation in addition to resolving the issues with conventional spin-coating. An example of this approach will be presented in detail. This unique integration flow can lead to new applications that would otherwise not be feasible in technological areas such as sensor, microfluidics and MEMS.
利用光刻胶的自旋涂覆技术在具有高纵横比沟槽的硅上进行图像化是一个重大的挑战。为了在晶圆表面保持良好的抗蚀剂厚度均匀性,最大限度地减少深沟槽内的残留物,以及实现低拥有成本,导致了新的工艺技术。使用干膜抗蚀剂(DFR)的晶圆级层压已成为此类应用的有利选择。本文将介绍临时DFR在克服深硅沟槽中的独特应用。该集成方案除了解决传统旋转镀膜的问题外,还为晶圆模拟提供了新的可能性。将详细介绍这种方法的一个示例。这种独特的集成流程可以带来新的应用,否则在传感器,微流体和MEMS等技术领域是不可行的。
{"title":"A novel integration scheme for wafer singulation and selective processing using temporary dry film resist","authors":"Alexandre La Grappe, Evert Visker, A. Redolfi, Lan Peng, Karthik Muga, David Huls, S. Vanhaelemeersch, A. Lauwers, J. Ackaert","doi":"10.1109/ECTC32696.2021.00136","DOIUrl":"https://doi.org/10.1109/ECTC32696.2021.00136","url":null,"abstract":"Patterning on Si with high aspect ratio trenches by spin-coating of photoresist faces significant challenges. The desire to maintain a good thickness uniformity of resist on wafer surface, to minimize any residue inside deep trenches, as well as enabling low cost of ownership has led to new process techniques. Wafer level lamination using dry film resist (DFR) has emerged as a favorable option for such applications. In this paper, a unique application of temporary DFR to overcome deep Si trenches will be presented. The integration scheme offers novel possibilities for wafer singulation in addition to resolving the issues with conventional spin-coating. An example of this approach will be presented in detail. This unique integration flow can lead to new applications that would otherwise not be feasible in technological areas such as sensor, microfluidics and MEMS.","PeriodicalId":351817,"journal":{"name":"2021 IEEE 71st Electronic Components and Technology Conference (ECTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126755117","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
2021 IEEE 71st Electronic Components and Technology Conference (ECTC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1